ASML ANNUAL REPORT 2022 | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 2 |
Smaller size, bigger capability is a well-established trend in the chip industry. And thanks to the joint efforts of our 39,000 people working together with suppliers, customers and innovation partners, we are taking that ever further. Every day we push the boundaries of physics and shrink patterns to help shape the future of life, work and play across the planet. Strongly embedded in a global innovation ecosystem, we enable ground-breaking technology that can help humanity manage challenges and seize opportunities by facilitating smart living and mobility, accessible healthcare, food security and the transition to renewable energy. | |||||
Creating small patterns that enable a big impact. |
2021 at a glance | Supervisory Board | ||||||||||
Message from the CEO | Message from the Chair of our Supervisory Board | ||||||||||
2021 Highlights | Supervisory Board report | ||||||||||
Remuneration report | |||||||||||
Who we are and what we do | |||||||||||
Our company | Consolidated Financial Statements | ||||||||||
Message from the CTO | Report of Independent Registered Public Accounting Firm | ||||||||||
How we innovate | Consolidated Statements of Operations | ||||||||||
Customer intimacy | Consolidated Statements of Comprehensive Income | ||||||||||
Our products and services | Consolidated Balance Sheets | ||||||||||
Consolidated Statements of Shareholders’ Equity | |||||||||||
Our position in the semiconductor value chain | Consolidated Statements of Cash Flows | ||||||||||
Our markets | Notes to the Consolidated Financial Statements | ||||||||||
Semiconductor industry trends and opportunities | |||||||||||
Our strategy | Non-financial statements | ||||||||||
Assurance Report of the Independent Auditor | |||||||||||
Our performance in 2021 | About the non-financial information | ||||||||||
How we create value | Non-financial indicators | ||||||||||
Financial | Materiality assessment | ||||||||||
Message from the CFO | Stakeholder engagement | ||||||||||
Financial performance | |||||||||||
Long-term growth opportunities | Other appendices | ||||||||||
Environmental | Definitions | ||||||||||
Climate and energy | Exhibit index | ||||||||||
Circular economy | |||||||||||
Social | |||||||||||
Our people | |||||||||||
Community engagement | |||||||||||
Innovation ecosystem | |||||||||||
Our supply chain | |||||||||||
Governance | |||||||||||
Corporate governance | |||||||||||
How we manage risk | |||||||||||
Risk factors | |||||||||||
Responsible business | |||||||||||
Tackling pollution | Global well-being | Food security | Energy transition | Smart mobility | Virtual and augmented reality | Wearable technology | |||||||||||||||||||||||||||||||||||
See page 8 > | See page 22 > | See page 30 > | See page 40 > | See page 51 > | See page 69 > | See page 149 > |
ASML ANNUAL REPORT 2022 | CONTENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 3 | |||||||||||||||
Contents |
STRATEGIC REPORT | ||||||||||||||||||||||||||
Forward-looking statements | Environmental | |||||||||||||||||||||||||
Message from the CEO | Energy efficiency and climate action | |||||||||||||||||||||||||
Our company | Circular economy | |||||||||||||||||||||||||
Q&A with the CTO | Social | |||||||||||||||||||||||||
Marketplace | Attractive workplace for all | |||||||||||||||||||||||||
Our business and ESG strategy | Our supply chain | |||||||||||||||||||||||||
Our business model | Innovation ecosystem | |||||||||||||||||||||||||
Q&A with the CFO | Valued partner in our communities | |||||||||||||||||||||||||
Financial performance | Governance | |||||||||||||||||||||||||
Performance KPIs | Managing ESG Sustainability | |||||||||||||||||||||||||
Long-term growth opportunities | Responsible business | |||||||||||||||||||||||||
Risk | Our approach to tax | |||||||||||||||||||||||||
How we manage risk | ||||||||||||||||||||||||||
Risk factors | Our stories | |||||||||||||||||||||||||
Environmental, Social and Governance | Tackling pollution | |||||||||||||||||||||||||
Global well-being | ||||||||||||||||||||||||||
ESG at a glance | Food security | |||||||||||||||||||||||||
Our material ESG sustainability topics | Energy transition | |||||||||||||||||||||||||
Smart mobility | ||||||||||||||||||||||||||
Virtual and augmented reality | ||||||||||||||||||||||||||
Wearable technology | ||||||||||||||||||||||||||
CORPORATE GOVERNANCE | ||||||||
Corporate Governance | ||||||||
Board of Management | ||||||||
Supervisory Board | ||||||||
Other Board-related matters | ||||||||
AGM and share capital | ||||||||
Financial reporting and audit | ||||||||
Compliance with Corporate Governance requirements | ||||||||
Supervisory Board report | ||||||||
Message from the Chair of the Supervisory Board | ||||||||
Supervisory Board focus in 2022 | ||||||||
Meetings and attendance | ||||||||
Supervisory Board committees | ||||||||
Financial Statements and Profit Allocation | ||||||||
Remuneration Report | ||||||||
Message from the Chair of the Remuneration Committee | ||||||||
Remuneration at a glance | ||||||||
Remuneration Committee | ||||||||
Board of Management remuneration | ||||||||
Supervisory Board remuneration | ||||||||
FINANCIALS & NON FINANCIALS | ||||||||
Consolidated Financial Statements | ||||||||
Report of Independent Registered Public Accounting Firm | ||||||||
Consolidated Statements of Operations | ||||||||
Consolidated Statements of Comprehensive Income | ||||||||
Consolidated Balance Sheets | ||||||||
Consolidated Statements of Shareholders’ Equity | ||||||||
Consolidated Statements of Cash Flows | ||||||||
Notes to the Consolidated Financial Statements | ||||||||
Non-financial statements | ||||||||
Assurance Report of the Independent Auditor | ||||||||
About the non-financial information | ||||||||
Non-financial indicators | ||||||||
Other appendices | ||||||||
Definitions | ||||||||
Exhibit index | ||||||||
ASML ANNUAL REPORT 2022 | FORWARD-LOOKING STATEMENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 4 | |||||||||||||||
Special note regarding forward-looking statements |
ASML ANNUAL REPORT 2022 | MESSAGE FROM THE CEO | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 5 | |||||||||||||||
Record performance in a challenging year | ||||||||||||||||||||
With record net bookings for 2022, an innovation pipeline filled with new products and services and our talented, energized and engaged people, we face the future with great confidence. |
Our investments are set to increase capacity.” | ||
Peter Wennink | ||
President, Chief Executive Officer and Chair of the Board of Management |
ASML ANNUAL REPORT 2022 | MESSAGE FROM THE CEOCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 6 | |||||||||||||||
Record performance in a challenging year (continued) |
Our ESG strategy is an important beacon that is lighting up the way ahead.” | ||
Peter Wennink | ||
President, Chief Executive Officer and Chair of the Board of Management |
ASML ANNUAL REPORT 2022 | MESSAGE FROM THE CEOCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 7 | |||||||||||||||
Record performance in a challenging year (continued) |
By challenging, collaborating and caring, we will play a leading role in meeting customer demands, delivering the right technology at the right time.” | ||
Peter Wennink | ||
President, Chief Executive Officer and Chair of the Board of Management |
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 8 |
TACKLING POLLUTION | |||||||||||
Nano innovations, macro challenges | |||||||||||
Our lithography solutions not only help to reduce chip size – they also increase performance and energy efficiency. That’s opened the door to nano-innovations such as the ‘winged microchip’ – inspired by the way seeds disperse through the air, these ultra-miniaturized electronic devices can ride the wind to track air pollution, airborne disease and environmental contamination. | |||||||||||
Read more online |
STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 9 | |||||||||||||||||
As a global innovation leader in the chip industry, we provide chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. | ||||||||||||||||||||
Berliner Glas (ASML Berlin GmbH), which we acquired in 2020, is reflected as part of our business throughout this report, with the exception of non-financial reporting. |
Key facts | ||||||||||||||
€21.2bn | €3.3bn | |||||||||||||
Total net sales | R&D investments | |||||||||||||
€18.6bn Asia €2.0bn US €0.6bn EMEA | We innovate across our entire product portfolio through strong investment in R&D | |||||||||||||
Read more on page 44 > | Read more on page 44 > |
Key products and services | ||||||||||||||
Lithography systems | ||||||||||||||
Extreme ultraviolet (EUV). We are the world’s only manufacturer of EUV equipment, the most advanced system with the capability of printing smaller features with higher density. | Deep ultraviolet (DUV). As the workhorse of the semiconductor industry, DUV produces the majority of layers in a customer device today, and will remain important for future devices. | |||||||||||||
Metrology and inspection systems | Computational lithography | |||||||||||||
Using optical and e-beam technology, these systems enable chipmakers to assess their performance across the chip manufacturing process, helping to improve accuracy, performance and quality control. | This process is used in the development of new chips to optimize reticle designs and enable more precise monitoring and control. | |||||||||||||
Software | ||||||||||||||
Lithography process and control software solutions. | ||||||||||||||
Refurbishment | Customer support | |||||||||||||
We measure a machine’s life in decades, not years. We refurbish and upgrade our older lithography systems to extend their lives, and we offer associated services. | We support our customers with a broad range of applications, services, technical support products and upgrades to ensure our equipment works reliably in their production process. |
Our global presence | |||||||||||||||||
Asia | |||||||||||||||||
China | |||||||||||||||||
Hong Kong | |||||||||||||||||
Japan | |||||||||||||||||
South Korea | |||||||||||||||||
Malaysia | |||||||||||||||||
Singapore | |||||||||||||||||
Taiwan | |||||||||||||||||
North America | |||||||||||||||||
Arizona | Oregon | ||||||||||||||||
California | Texas | ||||||||||||||||
Colorado | Utah | ||||||||||||||||
Connecticut | Virginia | ||||||||||||||||
Idaho | |||||||||||||||||
Massachusetts | |||||||||||||||||
New Mexico | |||||||||||||||||
New York | |||||||||||||||||
EMEA | |||||||||||||||||
Belgium | |||||||||||||||||
France | |||||||||||||||||
Germany | |||||||||||||||||
Ireland | |||||||||||||||||
Israel | |||||||||||||||||
Italy | |||||||||||||||||
Netherlands | |||||||||||||||||
United Kingdom |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 10 | |||||||||||||||
What makes us ASML |
Our purpose | ||||||||
Why we exist | ||||||||
Unlocking the potential of people and society by pushing technology to new limits | ||||||||
Society has made huge advances over the years, but the world still faces crucial challenges for the future. We must change how we think and act on themes that impact everyone. That’s why we seek to innovate at least at the same pace as our customers, focusing our intellect and resources to constantly look for new ways that will help improve society in areas such as energy use, climate change, mobility, healthcare, education and nutrition. |
Our vision | ||||||||
What we try to achieve | ||||||||
We enable ground-breaking technology to solve some of humanity’s toughest challenges | ||||||||
At ASML, we believe that the microchip industry is in a unique position to help tackle these challenges. From artificial intelligence (AI) to a vast internet of things (IoT), microchips are at the heart of modern technology that’s enabling the transition to sustainable energy, improving global health, increasing the safety and efficiency of transport, tackling pollution, bridging the digital divide or feeding close to eight billion people without exhausting the earth’s resources. |
Our mission | ||||||||
What we uniquely do | ||||||||
Together with our partners, we provide leading patterning solutions that drive the advancement of microchips | ||||||||
The long-term growth of the semiconductor industry is based on the principle that the energy, cost and time required for electronic computations can be reduced by shrinking transistors on microchips. To enable shrink, what we do – lithography – is key. Through our sustained investment in and dedication to research and development, we have become the innovation leader and a focused supplier of holistic lithography solutions to all of the world’s major chipmakers. |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 11 | |||||||||||||||
What makes us ASML (continued) |
Our core values | ||||||||||||||||||||
To help solve humanity’s toughest challenges while at the same time addressing our own, we must continue to amplify ASML’s core values that created our success – challenge, collaborate and care. We believe that these values help to provide opportunities for our employees in a safe, inclusive environment to develop their talent, feel respected and thrive, which enables them to make smart decisions that benefit all stakeholders. | ||||||||||||||||||||
We challenge | We collaborate | We care | ||||||||||||||||||
Say it can’t be done, we dare you. We bravely challenge boundaries and question the status quo. We continuously refine our ideas and processes, which enables us to keep pushing technology forward. | We collaborate to tap into our collective potential. Together with our partners in our ecosystem, we expand our knowledge and skills, learn from each other and share approaches to deliver the best results. This way, we create solutions that are optimized for ASML as a whole. | As an industry leader, we act with integrity and respect, realizing that our impact extends beyond technology to people, society and the planet. We take personal responsibility to create a safe, inclusive and trusting environment where people from all backgrounds are encouraged and enabled to speak up, contribute, make mistakes, learn and grow. | ||||||||||||||||||
We bravely challenge boundaries, | we expand our knowledge and skills, | to people, society and the planet. | ||||||||||||||||||
Watch 'Our values' video |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 12 | |||||||||||||||
How we innovate | ||||||||||||||||||||
Our innovation philosophy is one where we see ourselves as architects and integrators, working with partners in an innovation ecosystem. |
We innovate through partnerships. By developing our technology in close collaboration with our customers, we seek to build today what they need tomorrow. |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 13 | |||||||||||||||
How we innovate (continued) |
ASML ANNUAL REPORT 2022 | OUR COMPANYCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 14 | |||||||||||||||
Customer intimacy | ||||||||||||||||||||
We believe a true partnership with our customers is vitally important, to ensure we share the risks and rewards of what we do. |
We collaborate with our customers to understand how our technology best fits their needs and challenges. | |||||
ASML ANNUAL REPORT 2022 | OUR COMPANYCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 15 | |||||||||||||||
Customer intimacy (continued) |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 16 | |||||||||||||||
Our products and services | ||||||||||||||||||||
Our highly differentiated solutions provide unique value drivers, for both our customers and ASML, that will enable affordable shrink well into the next decade. |
Our holistic lithography approach | ||
See page 35 > |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 17 | |||||||||||||||
Our products and services (continued) |
With an 0.80 NA, the TWINSCAN NXT:870 is our new generation KrF system. |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 18 | |||||||||||||||
Our products and services (continued) |
ASML ANNUAL REPORT 2022 | OUR COMPANY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 19 | |||||||||||||||
Our products and services (continued) |
Metrology and inspection systems (continued) |
ASML ANNUAL REPORT 2022 | Q&A WITH THE CTO | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 20 | |||||||||||||||
Innovation – the driving force behind our progress | ||||||||||||||||||||
In conversation with our President, Chief Technology Officer and Vice Chair of the Board of Management | ||||||||||||||||||||
Martin van den Brink |
ASMLANNUAL REPORT 2022 | Q&A WITH THE CTOCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 21 | |||||||||||||||
Innovation – the driving force behind our progress (continued) | ||||||||||||||||||||
In conversation with our President, Chief Technology Officer and Vice Chair of the Board of Management | ||||||||||||||||||||
Martin van den Brink |
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 22 |
GLOBAL WELL-BEING | |||||||||||
Molecular-scale diagnostics, global health impact | |||||||||||
The COVID-pandemic has underlined the urgent need for a new generation of healthcare diagnostic tools. Ongoing scaling and miniaturization could result in a microchip smaller than a fingernail that can grab a single molecule and analyze it, providing real-time access to biological information and enabling well-being on a global scale. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | MARKETPLACE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 23 | |||||||||||||||
The world around us |
The big picture |
Trends affecting our marketplace |
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 24 | |||||||||||||||
The world around us (continued) |
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 25 | |||||||||||||||
The world around us (continued) |
Trends affecting our marketplace (continued) | ||||||||||||||||||||||||||||||||
Technological developments Technology is evolving fast, and the next level of computing is approaching at speed. The era of mobile computing – where you bring the computer with you – is moving toward an immersive world of ‘ubiquitous computing’, with computing power available wherever you go. Unleashing the power of data better and faster with artificial intelligence The transition to ubiquitous computing is enabled by what has been termed the ‘artificial intelligence of things’ (AIoT). AIoT is a smart and connected network of devices that seamlessly communicate over powerful 5G networks, unleashing the power of data better and faster than ever. This combination of artificial intelligence (AI) technologies and the internet of things (loT) infrastructure will achieve more efficient loT operations, improve human-to-machine interactions and enhance data management and analytics. The potential of AloT will gradually open up as AI and loT increasingly intertwine, facilitated by 5G. The vast amount of data that people can access, and the insights this provides, will fuel semiconductor business growth and digital transformation. | There are around 40 billion connected devices currently in use, with more being added every second. This number is expected to increase to 350 billion devices by 2030. Connected IoT devices are expected to create up to 175 ZB (zettabytes) of data per year by 2025 based on external research. To put that in perspective, one zettabyte is equal to a trillion gigabytes. And to download 175 ZB of data with the average internet connection speed currently available would take one person 1.8 billion years – a very long day at the office (or anywhere else). So, this big data will also need to become fast data to allow for ubiquitous computing, as the world moves toward ‘edge’ computing, where processing is brought as close to the source of data as possible, rather than happening in the cloud. Semiconductor-enabled computing trends Moore’s Law is the guiding principle for the semiconductor industry, the motor driving the industry to transit from mobile computing to ubiquitous computing. This transition continues to expand, facilitating three major trends in computing, as shown in the overview on the right: applications, data and algorithms. | |||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 26 | |||||||||||||||
The world around us (continued) |
Trends affecting our marketplace (continued) |
Semiconductor industry market | Smartphone | Personal computing | Consumer electronics | Automotive | Industrial electronics | Wired and wireless infrastructure | Servers, data centers and storage | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
In 2020, more than 953 billion chips were manufactured around the world, feeding a $471 billion industry. In 2022, the semiconductor industry increased the output to over 1.11 trillion chips, which fed a $618 billion market. Growth is set to continue, with market analysts predicting the industry could reach an over $700 billion by 2025. Semiconductor technology plays a crucial part in shaping the interconnected and intelligent network future, and end markets continue to grow. The overview shows an outlook on the current market size and market opportunity for the entire industry based on external research. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Key driver | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Continued refresh of all semiconductor content including image sensors | High-end compute and Memory, fast conversion to SSD | Legacy products and packaged ICs, advanced ICs in add-ons | Strong IC content growth: GPU, sensors, V2X communication sensing | High-end compute for AI on big data and sensors | Devices for fast data processing, modem, base-station infrastructure refresh | High processor and Memory growth, hardware accelerations including GPU | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2020 market size ($bn) | Total | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
117 | 100 | 50 | 40 | 51 | 38 | 76 | 471 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2022 market size ($bn) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
144 | 115 | 71 | 63 | 73 | 53 | 100 | 618 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2025 market opportunity ($bn) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
150 | 124 | 79 | 93 | 93 | 62 | 136 | 737 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2030 market opportunity ($bn) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
213 | 131 | 114 | 149 | 160 | 82 | 249 | 1,098 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Outlook CAGR 2020-2030 (%) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
6% | 3% | 9% | 14% | 12% | 8% | 13% | 9% | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Source: ASML’s Investor Day presentation (November 2022). Please note rounding differences may exist. |
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 27 | |||||||||||||||
The world around us (continued) |
Market | Key driver | 2020 market size ($bn) | 2025 market opportunity ($bn) | 2030 estimation1 ($bn) | Outlook CAGR 2020-2025 (%) | Previous outlook CAGR 2019-2024 (%) | ||||||||||||||
Smartphone | Continued refresh of all semiconductor content including image sensors | 116 | 162 | 210 | 7.0 | % | 7.9 | % | ||||||||||||
Personal computing | High-end compute and Memory, fast conversion to SSD | 100 | 121 | 132 | 3.9 | % | 2.8 | % | ||||||||||||
Consumer electronics | Legacy products and packaged ICs, advanced ICs in add-ons | 48 | 74 | 98 | 8.8 | % | 7.7 | % | ||||||||||||
Automotive | Strong IC content growth: GPU, sensors, V2X communication sensing | 39 | 82 | 131 | 16.3 | % | 9.5 | % | ||||||||||||
Industrial electronics | High-end compute for AI on big data and sensors | 50 | 82 | 119 | 10.5 | % | 7.8 | % | ||||||||||||
Wired and wireless infrastructure | Devices for fast data processing, modem, base-station infrastructure refresh | 38 | 53 | 63 | 7.0 | % | 5.5 | % | ||||||||||||
Servers, data centers and storage | High processor and Memory growth, hardware accelerations including GPU | 76 | 119 | 187 | 9.2 | % | 10.6 | % | ||||||||||||
466 | 693 | 940 | 8.2 | % | 7.3 | % |
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 28 | |||||||||||||||
The world around us (continued) |
This process is repeated until the wafer is covered in patterns, completing one layer of the wafer’s chips. To make an entire microchip, this process is repeated on layer after layer, stacking the patterns to create an integrated circuit (IC). The simplest chips have around 40 layers, while the most complex can have more than 150 layers. The size of the features to be printed varies depending on the layer, which means that different types of lithography systems are used for different layers – our latest-generation EUV systems are used for the most critical layers with the smallest features, while our ArFi, ArF, KrF and i-line systems can be used for less critical layers with larger features. | ||||||||||||||||||||||||||||||||
The making of a microchip involves a multiple-step sequence, including lithography to create a pattern in the photoresist and chemical processing steps such as deposition, photoresist coating, ion implantation and etching, to create electronic circuits on a silicon wafer. Microchips are made of layers about 50-150 nm thick that are built on the semiconductor substrate one layer at a time. The most advanced chips require EUV and DUV immersion lithography tools to make them. Simpler microchips, such as sensors for loT applications, can be produced using DUV dry machines. | After adding material for a new layer during deposition, the desired pattern is exposed onto it, which after development leaves lines and geometric shapes positioned precisely in the desired locations. Then the layer is etched, making these designs permanent on the wafer. The entire manufacturing process of microchips – from start to tested and packaged device, ready for shipment – can take between 18 and 26 weeks, depending on their complexity. | |||||||||||||||||||||||||||||||
A semiconductor fabrication plant, commonly known as a ‘fab’, is a factory where microchips are manufactured. The heart of a fab is the cleanroom. All fabrication steps take place here, so the environment is controlled to eliminate dust on a nanoscale. Under the cleanroom floor is the ‘sub fab’, which contains auxiliary equipment such as the drive laser. The utility fab – containing the pumping and abatement systems for vacuum and cooling – is usually found one floor below this. | ||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | MARKETPLACE CONTINUED | STRATEGIC REPORT | Innovation ecosystem Responsible supply chain Valued partner for our communities | FINANCIALS | 29 | |||||||||||||||
The world around us (continued) |
Stakeholder engagement Transparent reporting |
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 30 |
FOOD SECURITY | |||||||||||
Lower cost, higher yield | |||||||||||
Farmland in remote locations, particularly in emerging economies such as Kenya and Ethiopia, can be extremely vulnerable to climate change. As microchips become smaller and cheaper, access to mobile devices is increasing across the world. Farmers are now using smartphones to access vital weather information – aiming to ensure better crops and greater food security. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | OUR BUSINESS AND ESG STRATEGY | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 31 | |||||||||||||||
Focused on long-term value creation |
Our purpose is to unlock the potential of people and society by pushing technology to new limits – with a vision that our ground-breaking technology solves some of humanity’s toughest challenges. Our strategy and priorities are designed to deliver on these points and create value for our stakeholders. |
Our core strategy is to | |||||||||||
1. | Grow our core holistic lithography business | ||||||||||
2. | Secure unique supply chain capabilities to ensure business continuity | ||||||||||
3. | Move toward adjacent business opportunities |
4. | Increase our focus on ESG sustainability | ||||||||||
With a current focus on five priorities | |||||||||||
Strengthen customer trust | |||||||||||
Holistic lithography | |||||||||||
DUV competitiveness | |||||||||||
EUV.33 NA for manufacturing | |||||||||||
EUV.55 NA insertion |
Grow our holistic lithography business two- to threefold by 2030 |
ASML ANNUAL REPORT 2022 | OUR BUSINESS AND ESG STRATEGY CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 32 | |||||||||||||||
Focused on long-term value creation (continued) |
Secure unique supply chain capabilities to ensure business continuity | Increase our focus on ESG sustainability | Our five strategic priorities | Our ESG Sustainability strategy | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
We believe digital technologies are among the most important tools available to help society make progress and address environmental challenges. Enabled by microchips, these technologies are fueling a digital transformation that is helping to address global challenges, such as tackling climate change by reducing energy consumption and greenhouse gas emissions. We recognize that development of technology comes with new problems to solve, such as the energy use of devices and data centers, increased waste and material use, and social challenges. We believe our industry has a great opportunity and a moral obligation to drive sustainable growth. We are committed to using our innovations to also enable the semiconductor industry to reduce its footprint. We aim to help our customers minimize materials and energy required to produce advanced microchips. Within our own operations, including our supply chain, we are also looking closely at our social and environmental impact. | Through the continued execution of our strategic priorities, we aim to provide cost-effective solutions for our customers, enable the extension of the industry roadmap into the next decade, and support our long-term commitment to our environmental, social and governance (ESG) ambitions. | Central to our strategic approach, we collaborate with our stakeholders to deliver on the ambitions of our ESG Sustainability strategy: Environmental We want to continue to expand computing power but with minimal waste, energy use and emissions. That's why we focus on energy efficiency, climate action and circular economy. Social We want to ensure that responsible growth benefits all our stakeholders – to have an attractive workplace for all, a responsible supply chain, to fuel innovation in our ecosystem and to be a valued partner in our communities. Governance We commit to act on our responsibilities and fully anchor them in the way we do business through our focus on integrated governance, engaged stakeholders and transparent reporting. Our ESG Sustainability strategy is based on a materiality assessment where we determine the most significant impacts for our company. Our aim is to create long-term value for our stakeholders, while also contributing to the United Nations’ Sustainable Development Goals (SDGs). | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
We will continue to focus on securing business continuity in our core lithography business and controlling future unique, roadmap-enabling technologies. Our supply chain is a critical enabler of our ambition to grow our core business. In order to deliver our growth aspirations, we need to secure innovation, scale-up and continuity, sound business conditions and a constructive collaboration model with our unique technology suppliers. We are pro-actively assessing our supply base for projected demand and control of future roadmap-enabling capabilities. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Strengthen customer trust | DUV competitiveness | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Enhance our innovation and operational excellence capabilities to deliver on our roadmap for new product introductions and system deliveries, on time and with the highest quality, to address the needs of our customers. Increase our focus on sustainability through parts commonality and re-use, and drive improvements in performance and energy efficiency of our products to reduce costs and waste. | Continue our innovation leadership, enabling execution of customer roadmaps by driving DUV to the highest level of performance while remaining cost-competitive. Expand our installed base and support customer needs. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
EUV .33 NA for manufacturing | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Move toward adjacent business opportunities | Secure high-volume manufacturing performance and enhance the value of EUV technology by extending the product portfolio for future nodes. Improve cost effectiveness for our customers by improving system performance. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Beyond, if core growth is secured, we can move into adjacencies representing additional growth opportunities. We aim to do this by focusing on synergetic opportunities at the forefront of holistic transistor scaling to best serve our customers, by leveraging product and technology synergies, and by tapping into different future semiconductor scaling engines. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Read more on page 70 > | Holistic lithography | EUV .55 NA insertion | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Build a winning position in edge placement metrology and control to support customer needs. Integrate complete product portfolio into a holistic lithography solution to optimize and control lithography performance. | Insert EUV 0.55 NA (High-NA) in Logic and DRAM for high-volume manufacturing from 2025 onwards to support customer roadmaps by simplifying patterning schemes and decreasing defect density for Logic and DRAM. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 33 | ||||||||||||||||||
What we need to create value | |||||||||||||||||||||||
The depth and breadth of our resources and the relationships we build are key to our continued success. |
People and culture | Manufacturing | Ecosystem of partners | ||||||||||||||||||||||||||||||||||||
We have more than 39,000 talented, dedicated and highly motivated employees of 143 nationalities. Our focus is to recruit the best and provide them with a diverse and inclusive environment: a place of work where people share the same values to challenge, collaborate and care. Our culture helps us make smart decisions to benefit all stakeholders and create long-term value for shareholders. | Almost 10,000 people work in ASML’s 8 manufacturing sites in the EU, US and Asia. These global facilities provide a high-precision, Lean environment, where we assemble, test and deliver our complex lithography and metrology and inspection portfolio, from prototype to final product. | |||||||||||||||||||||||||||||||||||||
Read more on page 16 > | ||||||||||||||||||||||||||||||||||||||
Capital | Innovation | Our lithography solutions are the result of strong partnerships with shared incentives to compete and drive innovation. | ||||||||||||||||||||||||||||||||||||||||||
We are a long-term business with strong capital reserves, underpinned by a robust balance sheet. Total shareholders' equity at the end of 2022 amounts to €8.8bn on a consolidated balance sheet total of €36.3bn and net cash provided by operating activities of €8.5bn in 2022. This financial strength enables us to maintain our investment in equipment and ongoing developments to achieve our ambitious growth agenda. | We manufacture the most advanced lithography systems in the world. This has been achieved because innovation is a constant in our quest to push the boundaries of technology. We spent €3.3bn on R&D in 2022, but our innovation does not work in isolation. Instead, it is part of a close collaboration with key partners in the value chain and our 14,000 R&D employees. | Customers | Research partners | |||||||||||||||||||||||||||||||||||||||||
–Commit to future technology –Qualify technology for volume manufacturing –Drive ecosystems | –Deliver continuous research activity –Co-develop expertise | |||||||||||||||||||||||||||||||||||||||||||
Suppliers | Peers | |||||||||||||||||||||||||||||||||||||||||||
–Secure supply chain innovation –Commit investment and resources to technology | –Deliver critical materials –Deliver critical data –Deliver new required processes | |||||||||||||||||||||||||||||||||||||||||||
Read more on page 218 > | Read more on page 118 > |
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODELCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 34 | ||||||||||||||||||
Creating value within the fab | |||||||||||||||||||||||
We are a critical part of the chip manufacturing process, but our world-leading technology would not function without other key partners in the value chain. |
Digital technology is required to help people and society progress | ||||||||||||||
See page 35 > |
1. Deposition | 2. Photoresist coating | 3. Lithography | 4. Baking and developing | 5. Etching | 6. Ion implantation | 7. Removing photoresist | ||||||||||||||||||||||||||||||||
The first step is typically to deposit thin films of semiconducting material onto the silicon wafer. | The wafer is then coated with a light-sensitive layer called a ‘photoresist’. | Light is projected onto the wafer through a reticle. Optics shrink and focus the reticle pattern. This pattern is then printed onto the wafer when the resist layer is exposed to light. | The wafer is then baked and developed to make the pattern permanent, with a pattern of open spaces. | Materials such as gases are used to etch away material from the open spaces, leaving a 3D version of the pattern. | The wafer may be bombarded with positive or negative ions to tune the semiconductor properties. | After the layer is ionized, the remainder of the photoresist coating that was protecting areas not to be etched is removed. |
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 35 | ||||||||||||||||||
Creating value with our holistic approach | |||||||||||||||||||||||
Our holistic approach is based on the intelligent integration of computational lithography, lithography systems and metrology and inspection. This enables shrink by optimizing setup and control of the system’s process window during high-volume manufacturing – improving the availability of our lithography systems, reducing downtime and overall costs, and optimizing yield for our customers. |
Our world-leading systems | Lithography | ||||||||||
Computational lithography | Metrology and inspection | ||||||||||
Computational lithography is used to predict and enhance the process window of our lithography systems by calculating the optimal settings, depending on the specific application. This takes place in the research and development phase, before a lithography system goes into high-volume manufacturing. | We have a suite of tools – optical and e-beam metrology, high-resolution inspection and scanner and process control software solutions – which control the process window and help ensure that the lithography system operates optimally in the fab environment. Lithography is the only way in which inline adjustments can optimize performance as part of the manufacturing process. |
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 36 | ||||||||||||||||||
The value we create for our stakeholders | |||||||||||||||||||||||
Our success depends on strong, sustainable relationships with all stakeholders in the value chain. We aim to create sustainable value for them, and to use their input to develop our strategy, products and services. |
Shareholders | Customers | Suppliers | Employees | Society | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Our large and sustained investments in research and development help us execute our business strategy and enable us to maintain our position as a leader in holistic lithography. Our innovations contribute to the long-term growth of the semiconductor industry, which contributes to our solid financial performance and cash return policy by means of share buyback and paid dividends. | We invest in innovations that enable our world-leading lithographic systems to continue to shrink microchips. With EUV 0.33 NA and the next-generation EUV 0.55 NA platform, we pursue the continuation of Moore’s Law. This allows our customers to develop ever more powerful chips for new applications and devices. At the same time, we help our customers reduce costs and their environmental footprint. | We innovate together with our strategic partnerships, sharing knowledge and tapping into each other’s technology expertise to drive ever higher levels of complexity and capability. We conduct our business in a sustainable and responsible manner, where long-term relationships, close collaboration and transparency with our suppliers are key to our success. | ASML is a growth business providing employment opportunities around the world. With our headquarters in Veldhoven, Netherlands, we are a major employer in the community. We invest in people’s career development and well-being, and provide a diverse and inclusive environment where people can achieve their full potential. This results in both high employee engagement scores and low attrition. | Our continuous innovations enable new technology to support the growth and transformation of the semiconductor industry to help address society’s needs. As a global technology leader and employer, we play an active role in the local communities we operate in. Our collaborative ecosystem nurtures innovation and benefits society. For example, we share our expertise with universities and | research institutes, support young tech companies and promote STEM education worldwide. We also develop ground-breaking technology to minimize our own environmental footprint. We do this by seeking to minimize waste and maximize the value of the materials we use, and executing our carbon footprint strategy and product energy-efficiency strategy. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
€4.6bn | €21.2bn | €12.4bn | 78% | €11.5m | 87% | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Share buyback | Total net sales | Total sourcing spend | Employee engagement score | Community investment | Re-use rate of parts returned from field and factory | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
€5.80 | 345 | 5,000 | 6.0% | €14.7m | 38.1 kt | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Proposed annualized dividend per share | Lithography systems sold | Number of suppliers (rounded) | Attrition rate | Contribution to EU research projects | Emissions from manufacturing and buildings (scope 1 + 2) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
€14.14 | #2 | 24% | 95% | 11.9 Mt | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Earnings per share | TechInsights Customer Satisfaction ranking of the 10 Best Large Suppliers of Chip Making Equipment | Gender diversity – % females inflow | % of systems sold in the past 30 years still active in the field | Indirect emissions from total value chain (scope 3) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 37 | |||||||||||||||
Engaging with stakeholders |
We develop our materiality assessment based on GRI, which includes the principle of stakeholder engagement, where we identify key topics to discuss with the relevant stakeholder group. | ||||||||
Read more on page 71 > | ||||||||
We think about our stakeholders as belonging to five groups: shareholders, customers, employees, suppliers and society. These groups can affect or be affected by our business, and we embrace continuous open dialogue and knowledge sharing for the benefit of all parties. |
This group consists of current shareholders, potential active and passive investors, financial and ESG analysts. We aim to help them to understand our (long-term) investment opportunities. We communicate with them about our financial growth strategies and opportunities, financial performance and outlook and shareholder returns as well as our sustainability strategy. | ||||||||||||||||||||
Shareholders | ||||||||||||||||||||
How we engage | ||||||||||||||||||||
–Direct interaction with the Investor Relations department (e.g. calls, ESG performance surveys, email exchange, site visits – at ASML and/or at the investor) –AGM –Investor Day –Company quarterly results presentations and press releases –Various investor conferences and roadshows –Various sustainability questionnaires, assessments and survey feedback | ||||||||||||||||||||
Main topics | ||||||||||||||||||||
– Financial results – Cash return – Market outlook – Products and end market –Customer adoption – Geopolitics – Business summary – Company roadmap and product portfolio – ESG targets and results: human capital development, carbon footprint, waste, recycling, energy consumption, social responsibility in supply chain – Board diversity and remuneration | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 38 | |||||||||||||||
Engaging with stakeholders (continued) |
We are a manufacturer of leading-edge chipmaking equipment. We enable our customers to create the patterns that define the electronic circuits on a chip. Our customers are the world’s leading microchip manufacturers, and our success is inextricably linked with theirs. | |||||||||||||||||||||||
Customers | |||||||||||||||||||||||
How we engage | |||||||||||||||||||||||
–Customer feedback survey –Direct interaction via account teams and zone quality managers –Voice of the Customer sessions –Technology Review Meetings (between our CTO, product managers, other executives and our major customers) –Executive Review Meetings (between ASML executives and major customers) –Different technology symposia and special events | |||||||||||||||||||||||
Main topics | |||||||||||||||||||||||
–Products and technology –Customer roadmap –Innovation –Customer support, cost of ownership and quality –ESG: energy efficiency, integrating ESG sustainability in strategy and roadmaps, waste reduction and reuse of materials and safety awareness and behavior | |||||||||||||||||||||||
Our customers are the world's leading microchip manufacturers. | |||||||||||||||||||||||
We want to provide a unified direction and anchor ASML’s identity deep in the organization. To do this, we aim to help people embrace our values, familiarize themselves with our strategy and purpose and uphold our Code of Conduct principles. Employee engagement is important to the success of our company and employer brand enables us to attract talent. We are committed to good labor practice and respect human rights. | |||||||||||||||||||||||
Employees | |||||||||||||||||||||||
How we engage | |||||||||||||||||||||||
–Employee engagement survey –Training and development programs, including employee evaluation/feedback –ASML's Speak Up service –Works Council – Employee networks, such as Next, Women/WAVES, Seniors, Parents, Veterans, Green ASML, Atypical, SHADES and Proud –Internal communication and awareness (e.g. intranet, Ethics program, department employee meeting, lunch with Board members) –Onboarding program for new employees –All-employee meeting and senior management meetings | |||||||||||||||||||||||
Main topics | |||||||||||||||||||||||
–Training and development –Code of Conduct/Ethics –Strategy –Diversity and inclusion –Labor conditions –Vitality –Human rights –Sustainability target and performance | |||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | OUR BUSINESS MODEL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 39 | |||||||||||||||
Engaging with stakeholders (continued) |
We rely heavily on our supplier network to achieve the innovations we strive for. Our goal is to ensure we get the products, materials and services we need to meet our short- and long-term needs. To this end, we invest in developing our supply landscape to help suppliers meet our requirements with regard to quality, logistics, technology, cost and sustainability. We are committed to a responsible and sustainable supply chain. | ||||||||||||||||||||
Suppliers | ||||||||||||||||||||
How we engage | Main topics | |||||||||||||||||||
–ASML’s Supplier Day –Direct interactions via supplier account teams/procurement account managers –Supplier audits –Site visits –Newsletter –RBA self-assessment questionnaire (SAQ) –ASML's Speak Up service | –Products and technology –Quality, logistics, technology, total cost and sustainability (QLTCS) –Supplier performance and risk management –IP/information security –Business continuity –RBA compliance (ethics, labor practice, health and safety, and environment) –Scarce (natural) resources, 3TG, hazardous substances, etc. –Circularity (re-use, recycling, refurb) –Scope 3 carbon footprint | |||||||||||||||||||
We are committed to conducting our business in an accountable and caring way, for our employees and the wider communities we operate in. As a global technology leader and employer, we play an active role in the local communities in which we operate. We also develop ground-breaking technology to minimize our own environmental footprint. We do this by seeking to minimize waste and maximize the value of material we use, as well as executing our carbon footprint strategy and product energy efficiency strategy. | |||||||||||||||||||||||
Society | |||||||||||||||||||||||
How we engage | Main topics | ||||||||||||||||||||||
With industry unions and associations –Member conferences and technical forums –Member consultation on standards –Brainport Eindhoven With governments and authorities –Dialogue with tax authorities –Relevant EU roundtable discussions –Compliance reporting –Proactive dialogue with government, authorities and municipalities With communities, universities, media, NGOs and others –Website www.asml.com –Community engagement programs and events –Young high-tech community (HighTechXL, Make Next Platform, Eindhoven Startup Alliance) –Company visits –Press releases, interviews, engagement calls and meetings | –Employee development –Charity, sponsoring and donations –Collaboration in innovation –Strengthening innovation in the industry, in society and where we operate –Social and environmental responsibility –Promotion of science, technology, engineering and mathematics (STEM) education –Local developments | ||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 40 |
ENERGY TRANSITION | |||||||||||
Tiny connections, huge implications | |||||||||||
The shift to renewables is helping deliver the clean, affordable energy the world needs to counter climate change. Semiconductors are absolutely central to this shift – harnessing, converting, transferring and storing energy as electricity, and ensuring that national power grids are both responsive and robust. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | Q&A WITH THE CFO | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 41 | |||||||||||||||
Strong demand driving an outstanding performance | ||||||||||||||||||||
In conversation with our Executive Vice President and Chief Financial Officer | ||||||||||||||||||||
Roger Dassen |
We are increasing our output capability for EUV as well as DUV.” | ||
Roger Dassen | ||
Executive Vice President and Chief Financial Officer |
ASML ANNUAL REPORT 2022 | Q&A WITH THE CFOCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 42 | |||||||||||||||
Strong demand driving an outstanding performance (continued) | ||||||||||||||||||||
In conversation with our Executive Vice President and Chief Financial Officer | ||||||||||||||||||||
Roger Dassen |
We are experiencing growth at an unprecedented rate.” | ||||||||
Roger Dassen | ||||||||
Executive Vice President and Chief Financial Officer | ||||||||
€2.6bn | |||||
Net sales increase | |||||
50.5% | |||||
Gross margin | |||||
€4.6bn | |||||
Repurchased shares | |||||
€2.6bn | |||||
Dividends paid | |||||
ASML ANNUAL REPORT 2022 | Q&A WITH THE CFOCONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 43 | |||||||||||||||
Strong demand driving an outstanding performance (continued) | ||||||||||||||||||||
In conversation with our Executive Vice President and Chief Financial Officer | ||||||||||||||||||||
Roger Dassen |
ASML is well placed to deliver more record performances in the future.” | ||
Roger Dassen | ||
Executive Vice President and Chief Financial Officer |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 44 | |||||||||||||||
Performance KPIs | ||||||||||||||||||||
Sales | Profitability | Liquidity | |||||||||||||||||||||
Total net sales | Gross profit | % of total net sales | Cash and cash equivalents (year-end) | ||||||||||||||||||||
€21.2bn | €10.7bn | 50.5% | €7.3bn | ||||||||||||||||||||
2021: €18.6bn | 2021: €9.8bn | 52.7% | 2021: €7.0bn | ||||||||||||||||||||
Net system sales | Income from operations | Short-term investments (year-end) | |||||||||||||||||||||
€15.4bn | €6.5bn | 30.7% | €0.1bn | ||||||||||||||||||||
2021: €13.7bn | 2021: €6.8bn | 36.3% | 2021: €0.6bn | ||||||||||||||||||||
Net service and field option sales | Net income | Net cash provided by operating activities | |||||||||||||||||||||
€5.7bn | €5.6bn | 26.6% | €8.5bn | ||||||||||||||||||||
2021: €5.0bn | 2021: €5.9bn | 31.6% | 2021: €10.8bn | ||||||||||||||||||||
Sales of lithography systems (in units)1 | Earnings per share | Free cash flow2 | |||||||||||||||||||||
345 | €14.14 | €7.2bn | |||||||||||||||||||||
2021: 309 | 2021: €14.36 | 2021: €9.9bn | |||||||||||||||||||||
Immersion systems recognized (in units) | |||||||||||||||||||||||
81 | |||||||||||||||||||||||
2021: 81 | 1.Lithography systems do not include metrology and inspection systems. | ||||||||||||||||||||||
EUV systems recognized (in units) | 2. Free cash flow is a non-GAAP measure and is defined as net cash provided by operating activities (2022: €8,486.8 million and 2021: €10,845.8 million) minus purchase of property, plant and equipment (2022: €1,281.8 million and 2021: €900.7 million) and purchase of intangible assets (2022: €37.5 million and 2021: €39.6 million). We believe that free cash flow is an important liquidity metric for our investors, reflecting cash that is available for acquisitions, to repay debt and to return money to our shareholders by means of dividends and share buybacks. Purchase of property, plant and equipment and purchase of intangible assets are deducted from net cash provided by operating activities in calculating free cash flow because these payments are necessary to support the maintenance and investments in our assets to maintain the current asset base. | ||||||||||||||||||||||
40 | |||||||||||||||||||||||
2021: 42 |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 45 | |||||||||||||||
Performance KPIs (continued) | ||||||||||||||||||||
Year ended December 31 (€, in millions, unless otherwise indicated) | 2020 | %1 | 2021 | %1 | ||||||||||
Sales | ||||||||||||||
Total net sales | 13,978.5 | 18,611.0 | ||||||||||||
Year-over-year increase in total net sales (%) | 18.3 | 33.1 | ||||||||||||
Net system sales | 10,316.6 | 13,652.8 | ||||||||||||
Net service and field option sales | 3,661.9 | 4,958.2 | ||||||||||||
Sales of lithography systems (in units) 2 | 258 | 309 | ||||||||||||
Immersion systems recognized (in units) | 68 | 81 | ||||||||||||
EUV systems recognized (in units) | 31 | 42 | ||||||||||||
Profitability | ||||||||||||||
Gross profit | 6,797.2 | 48.6 | 9,809.0 | 52.7 | ||||||||||
Income from operations | 4,051.5 | 29.0 | 6,750.1 | 36.3 | ||||||||||
Net income | 3,553.7 | 25.4 | 5,883.2 | 31.6 | ||||||||||
Liquidity | ||||||||||||||
Cash and cash equivalents | 6,049.4 | 6,951.8 | ||||||||||||
Short-term investments | 1,302.2 | 638.5 | ||||||||||||
Net cash provided by operating activities | 4,627.6 | 10,845.8 | ||||||||||||
Free cash flow 3 | 3,626.8 | 9,905.5 |
Operating results of 2022 compared to 2021 |
Year ended December 31 (€, in millions) | 2021 | %1 | 2022 | %1 | % Change | ||||||||||||
Net system sales | 13,652.8 | 73.4 | 15,430.3 | 72.9 | 13.0 | ||||||||||||
Net service and field option sales | 4,958.2 | 26.6 | 5,743.1 | 27.1 | 15.8 | ||||||||||||
Total net sales | 18,611.0 | 100.0 | 21,173.4 | 100.0 | 13.8 | ||||||||||||
Cost of system sales | (6,482.9) | (34.8) | (7,582.3) | (35.8) | 17.0 | ||||||||||||
Cost of service and field option sales | (2,319.1) | (12.5) | (2,891.0) | (13.7) | 24.7 | ||||||||||||
Total cost of sales | (8,802.0) | (47.3) | (10,473.3) | (49.5) | 19.0 | ||||||||||||
Gross profit | 9,809.0 | 52.7 | 10,700.1 | 50.5 | 9.1 | ||||||||||||
Research and development costs | (2,547.0) | (13.7) | (3,253.5) | (15.4) | 27.7 | ||||||||||||
Selling, general and administrative costs | (725.6) | (3.9) | (945.9) | (4.5) | 30.4 | ||||||||||||
Other income | 213.7 | 1.1 | — | — | (100.0) | ||||||||||||
Income from operations | 6,750.1 | 36.3 | 6,500.7 | 30.7 | (3.7) | ||||||||||||
Interest and other, net | (44.6) | (0.2) | (44.6) | (0.2) | — | ||||||||||||
Income before income taxes | 6,705.5 | 36.0 | 6,456.1 | 30.5 | (3.7) | ||||||||||||
Income tax expense | (1,021.4) | (5.5) | (969.9) | (4.6) | (5.0) | ||||||||||||
Income after income taxes | 5,684.1 | 30.5 | 5,486.2 | 25.9 | (3.5) | ||||||||||||
Profit from equity method investments | 199.1 | 1.1 | 138.0 | 0.7 | (30.7) | ||||||||||||
Net income | 5,883.2 | 31.6 | 5,624.2 | 26.6 | (4.4) |
For a comparison of ASML’s operating results for the year ended December 31, 2021, with the year ended December 31, 2020, please see Our performance in 2021 – Financial – Financial performance – Operating results of 2021 compared with 2020 of ASML’s annual report on Form 20-F for the year ended December 31, 2021. The preparation of our Consolidated Financial Statements in conformity with US GAAP requires management to make estimates and assumptions. Reference is made to Note 1 General information / summary of general accounting policies to the Consolidated Financial Statements for detailed information on critical accounting estimates. |
Year ended December 31 (€, in millions) | 2020 | %1 | 2021 | %1 | % Change | ||||||||||||
Net system sales | 10,316.6 | 73.8 | 13,652.8 | 73.4 | 32.3 | ||||||||||||
Net service and field option sales | 3,661.9 | 26.2 | 4,958.2 | 26.6 | 35.4 | ||||||||||||
Total net sales | 13,978.5 | 100.0 | 18,611.0 | 100.0 | 33.1 | ||||||||||||
Cost of system sales | (5,169.3) | (37.0) | (6,482.9) | (34.8) | 25.4 | ||||||||||||
Cost of service and field option sales | (2,012.0) | (14.4) | (2,319.1) | (12.5) | 15.3 | ||||||||||||
Total cost of sales | (7,181.3) | (51.4) | (8,802.0) | (47.3) | 22.6 | ||||||||||||
Gross profit | 6,797.2 | 48.6 | 9,809.0 | 52.7 | 44.3 | ||||||||||||
Research and development costs | (2,200.8) | (15.7) | (2,547.0) | (13.7) | 15.7 | ||||||||||||
Selling, general and administrative costs | (544.9) | (3.9) | (725.6) | (3.9) | 33.2 | ||||||||||||
Other income | — | — | 213.7 | 1.1 | N/A | ||||||||||||
Income from operations | 4,051.5 | 29.0 | 6,750.1 | 36.3 | 66.6 | ||||||||||||
Interest and other, net | (34.9) | (0.2) | (44.6) | (0.2) | 27.8 | ||||||||||||
Income before income taxes | 4,016.6 | 28.7 | 6,705.5 | 36.0 | 66.9 | ||||||||||||
Income tax expense | (551.5) | (3.9) | (1,021.4) | (5.5) | 85.2 | ||||||||||||
Income after income taxes | 3,465.1 | 24.8 | 5,684.1 | 30.5 | 64.0 | ||||||||||||
Profit from equity method investments | 88.6 | 0.6 | 199.1 | 1.1 | 124.7 | ||||||||||||
Net income | 3,553.7 | 25.4 | 5,883.2 | 31.6 | 65.6 |
Revenue growth from each of the Logic and Memory markets and our installed base | ||
(in millions) |
13.8% | ||
Net sales | ||
13.0% | ||
Net system sales | ||
15.8% | ||
Net service and field option sales |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 46 | |||||||||||||||
Performance KPIs (continued) | ||||||||||||||||||||
Increase in net sales driven by strong demand across all technologies | ||
(in millions) |
Gross profit | ||
(in millions) |
Research and development costs | ||
(in millions) |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 47 | |||||||||||||||
Performance KPIs (continued) | ||||||||||||||||||||
Selling, general and administrative costs |
Income taxes |
Net income |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 48 | |||||||||||||||
Performance KPIs (continued) | ||||||||||||||||||||
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | ||||||||||
Cash and cash equivalents, beginning of period | Cash and cash equivalents, beginning of period | 3,532.3 | 6,049.4 | Cash and cash equivalents, beginning of period | 6,049.4 | 6,951.8 | ||||||||||
Net cash provided by (used in) operating activities | Net cash provided by (used in) operating activities | 4,627.6 | 10,845.8 | Net cash provided by (used in) operating activities | 10,845.8 | 8,486.8 | ||||||||||
Net cash provided by (used in) investing activities | Net cash provided by (used in) investing activities | (1,352.2) | (72.0) | Net cash provided by (used in) investing activities | (72.0) | (1,028.9) | ||||||||||
Net cash provided by (used in) financing activities | Net cash provided by (used in) financing activities | (753.0) | (9,891.7) | Net cash provided by (used in) financing activities | (9,891.7) | (7,138.3) | ||||||||||
Effect of changes in exchange rates on cash | Effect of changes in exchange rates on cash | (5.3) | 20.3 | Effect of changes in exchange rates on cash | 20.3 | (3.1) | ||||||||||
Net increase (decrease) in cash and cash equivalents | Net increase (decrease) in cash and cash equivalents | 2,517.1 | 902.4 | Net increase (decrease) in cash and cash equivalents | 902.4 | 316.5 | ||||||||||
Cash and cash equivalents, end of period | Cash and cash equivalents, end of period | 6,049.4 | 6,951.8 | Cash and cash equivalents, end of period | 6,951.8 | 7,268.3 | ||||||||||
Short-term investments, end of period | Short-term investments, end of period | 1,302.2 | 638.5 | Short-term investments, end of period | 638.5 | 107.7 | ||||||||||
Cash and cash equivalents and short-term investments | Cash and cash equivalents and short-term investments | 7,351.6 | 7,590.3 | Cash and cash equivalents and short-term investments | 7,590.3 | 7,376.0 | ||||||||||
Purchases of property, plant and equipment and intangible assets | Purchases of property, plant and equipment and intangible assets | (1,000.8) | (940.3) | Purchases of property, plant and equipment and intangible assets | (940.3) | (1,319.3) | ||||||||||
Free cash flow 1 | Free cash flow 1 | 3,626.8 | 9,905.5 | Free cash flow1 | 9,905.5 | 7,167.5 |
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 49 | |||||||||||||||
Long-term growth opportunities | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | FINANCIAL PERFORMANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 50 | |||||||||||||||
Long-term growth opportunities (continued) | ||||||||||||||||||||
Our updated model for 2025 goes beyond our high-market scenario from CMD 2021 | ||||||||||||||||||||||||||||||||||||||
Market | System units | Total sales opportunity (in €bn) | ||||||||||||||||||||||||||||||||||||
High | CMD 2021 Units ASML | CMD 2022 Units ASML | CMD 2022 Units ASML | CMD 2021 Sales | CMD 2022 Sales | CMD 2022 Sales | ||||||||||||||||||||||||||||||||
2025 | 2025 | 2030 | 2025 | 2025 | 2030 | |||||||||||||||||||||||||||||||||
EUV High-NA 0.55 | 5 | 5 | 30 | Systems (Litho and M&I1) | 23 | 32 | 47 | |||||||||||||||||||||||||||||||
EUV Low-NA 0.33 | 70 | 80 | 80 | |||||||||||||||||||||||||||||||||||
ArFi (immersion) | 78 | 105 | 115 | Installed Base Management2 | 7 | 8 | 13 | |||||||||||||||||||||||||||||||
Dry | 189 | 385 | 425 | |||||||||||||||||||||||||||||||||||
Total | 342 | 575 | 650 | Total | 30 | 40 | 60 | |||||||||||||||||||||||||||||||
Low | CMD 2021 Units ASML | CMD 2022 Units ASML | CMD 2022 Units ASML | CMD 2021 Sales | CMD 2022 Sales | CMD 2022 Sales | ||||||||||||||||||||||||||||||||
2025 | 2025 | 2030 | 2025 | 2025 | 2030 | |||||||||||||||||||||||||||||||||
EUV High-NA 0.55 | 5 | 5 | 15 | Systems (Litho and M&I1) | 18 | 23 | 33 | |||||||||||||||||||||||||||||||
EUV Low-NA 0.33 | 48 | 65 | 65 | |||||||||||||||||||||||||||||||||||
ArFi (immersion) | 63 | 75 | 85 | Installed Base Management2 | 6 | 7 | 11 | |||||||||||||||||||||||||||||||
Dry | 124 | 180 | 250 | |||||||||||||||||||||||||||||||||||
Total | 240 | 325 | 415 | Total | 24 | 30 | 44 | |||||||||||||||||||||||||||||||
1. M&I: Metrology and inspection. 2. Installed Base Management equals our net service and field option sales. | ||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 51 |
SMART MOBILITY | |||||||||||
Individual choices, shared benefits | |||||||||||
Across the world, people are changing their views about personal transport. Instead of owning expensive and environmentally harmful vehicles, they’re seeking to get from A to B through car-sharing, ride-sharing, ride-hailing, micro-mobility and micro-transit. The mobile apps that underpin smart mobility are all enabled by semiconductor technology. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | RISK | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 52 | ||||||||||||||||||
How we manage risk | |||||||||||||||||||||||
We use an Enterprise Risk Management (ERM) framework to integrate risk management into our daily business activities and strategic planning. |
The purpose of risk management is to maximize the probability of achieving business objectives responsibly.” | ||
Geert Beullens | ||
VP Risk and Business Assurance |
Supervisory Board | Audit Committee | ||||||||||||||||||||||||||||
Request to investigate specific risk topics | –Bi-annual risk review –Risk topics feedback | –Assertion on control effectiveness –Quarterly progress reporting | |||||||||||||||||||||||||||
Board of Management | |||||||||||||||||||||||||||||
Corporate Risk Committee (CRC) Risk oversight | Disclosure Committee Internal Control Committee | ||||||||||||||||||||||||||||
–Risk appetite –Risk management policy –CRC sub committees (governance) | –Risk assessment results –Risk response progress –Incidents | –Control effectiveness | |||||||||||||||||||||||||||
Risk owners | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 53 | ||||||||||||||||||
How we manage risk (continued) |
ASML risk management process provides direction for adequate risk and control measures for key risks.” | ||
Roel Verstegen | ||
Head of Enterprise Risk Management |
ASML risk universe | |||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||
–Industry cycle risk –Political risk –Climate change risk | –Business model risk –Merger and acquisition risk | –Competition risk –Innovation risk –Product stewardship risk | –Product roadmap execution risk –Intellectual property rights risk | ||||||||||||||||||||||||||
Finance and reporting | Partners | People | Operations | ||||||||||||||||||||||||||
–Business planning risk –Foreign exchange rate risk –Liquidity risk –Interest rate risk –Capital availability risk –Counterparty credit risk –Shareholder activism risk –Disclosure/external reporting risk | –Customer dependency risk –Product/service quality risk –Supplier strategy and performance risk –Supply chain disruption risk | –Knowledge management risk –Organizational effectiveness risk –Human resource risk | –Product industrialization risk –Process effectiveness and efficiency risk –Environment, health and safety risk –Continuity of own operation risk –Security risk –Information technology risk –Manufacturing and install risk | ||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||
–Contractual liability risk | –Violation of laws and regulations risk | –Violation of internal policies risk | |||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 54 | ||||||||||||||||||
How we manage risk (continued) |
Risk assessment | Risk response | |||||||||||||||||||||||||||||||||||||
Top-down risk assessment | Coordination and follow-up | |||||||||||||||||||||||||||||||||||||
Corporate Risk Committee/Risk owners/Emerging risks | Risk owners | |||||||||||||||||||||||||||||||||||||
Risk identification | Risk landscape | Risk appetite | ||||||||||||||||||||||||||||||||||||
Risk analysis | ||||||||||||||||||||||||||||||||||||||
Risk evaluation | Risk treatment | |||||||||||||||||||||||||||||||||||||
Bottom-up risk assessment | Execution | |||||||||||||||||||||||||||||||||||||
Country/Sector | Action owners | |||||||||||||||||||||||||||||||||||||
Risk type | Averse | Prudent | Moderate | High | Extensive | ||||||||||||||||||||||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Partners | |||||||||||||||||||||||||||||||||||||||||||||||||||||
People | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Operations | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Finance and reporting | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 55 | ||||||||||||||||||
How we manage risk (continued) |
Strategy | Risk categories | Risk developments | Risk responses | ||||||||
Continue innovating at pace to maintain technology leadership | Innovation Product roadmap execution IP rights Supplier strategy and performance Human resource Knowledge management Security Competition | Intellectual Property (IP) technology leadership pressure | –Intellectual property portfolio management –Patents and relevant technical publications monitoring –Extensive investments in security program –Awareness and training programs –Cyber Defense Center | ||||||||
–There is significant pressure on know-how and IP protection for ASML and its open innovation partners. ASML’s existence is based on people and knowledge. Unauthorized disclosure of information of ASML, its customers or suppliers may benefit competitors, negatively affect ASML’s ability to file patents or affect cooperation with customers and suppliers. –We experience cyberattacks and other security incidents on our information technology systems, and our suppliers, customers and other service providers also experience such cyberattacks. | |||||||||||
Advanced lithography solutions | Product industrialization Manufacturing and install Continuity of own operations Supplier strategy and performance Supply chain disruption Human resource Product and service quality Process effectiveness and efficiency Violations of laws and regulations Business model Competition Political Industry cycle | Growth challenges | –Increase of manufacturing capabilities, utilization rate and cycle-time reduction –Fast shipments –Support suppliers to increase move rate and mitigate material shortages –Deployment of onboarding and well-being programs –Shorten time to knowledge (learning operating model) | ||||||||
–There is an increasing demand across all market segments and our product portfolio, which is an opportunity for us that also brings challenges. We face challenges to increase production capacity in our end-to-end supply chain to meet this demand. This is amplified by supply chain constraints. –Hiring, onboarding and retaining the workforce in the current competitive market is increasingly challenging. Consistent pressure on our organization and people as a result of our growth may lead to well-being issues among our employees. –The high demand we are continuing to experience could change customers’ sourcing strategies to become less dependent on ASML. | |||||||||||
Geopolitical tensions | –Actively engage with governmental authorities about effectiveness, consequences and enforceability of regulations –Collaborate with peers in global advocacy –Scenario planning around potential geopolitical events –Apply for export licenses as required –Comply with applicable (existing and new) regulations –Optimization of supply chain footprint | ||||||||||
–Geopolitical tensions are rising and additional export control restrictions have been imposed during 2022. The risk of further restrictions on exports or investments is high, and as a consequence global trade is shifting from globalization to regionalization as China, US and many other countries strive for technological sovereignty. In particular, the tensions between China and the US may lead to a decoupled ecosystem and – in the longer term – overcapacity. Given the important role both countries play in the semiconductor supply chain, this can have a significant impact on our industry. Trade and export barriers have already impacted our ability to sell to and service systems for certain customers, and this is likely to continue to impact our business going forward. –Changes in relations between Taiwan and the People’s Republic of China could lead to additional trade restrictions and could impact our employees and the ability to utilize our manufacturing facilities and supply chain in Taiwan for our global customers, as well as our ability to service our customers in Taiwan. | |||||||||||
Weakening global economy | –Control costs and maintain flexibility –Scenario planning around macroeconomic trends | ||||||||||
–Macroeconomic downturn fears are increasing, fueled by high inflation rates that are amplified by the energy crisis. Economic uncertainty has led to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders. A recession might also bring opportunities in the tight labor market. | |||||||||||
Drive a more sustainable world | Product stewardship EHS Climate change Human resource Violation of laws and regulations Continuity of own operations Supply chain disruption | Strengthening ESG regulations and increasing stakeholder expectations | –Stakeholder engagement and disclosures –Deployment of ESG strategy in our organization and value chain –Non-financial reporting in accordance with the Global Reporting Initiative (GRI) Universal Standards 2021 –Deployment of business continuity plans –Include extreme weather aspects in building upgrades and new designs –Comply with (existing and new) regulations | ||||||||
–Companies across all industries are facing increasing scrutiny relating to their ESG policies. Our stakeholders are increasingly focused on our contribution to society and expect us to minimize the environmental and social impact of our products throughout all life-cycle stages. A global trend to transition to a lower carbon economy has resulted in the imposition of increased regulations and disclosure requirements. Failure to achieve our ESG objectives and meet the emerging ESG expectations of our stakeholders could negatively affect our brand and reputation. | |||||||||||
Climate change fueling extreme weather | |||||||||||
–Climate change contributes to increasing severity and frequency of extreme weather events (such as cyclones and flood, fire stress, drought, heat and precipitation stress, rising sea levels) that can impact continuity of our operations and/or our supply chain. |
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 56 | ||||||||||||||||||
Risk factors | |||||||||||||||||||||||
We face many risks that have the potential to impact our business. It is important to understand the nature of these. We assess our risks by using the ASML risk universe, which comprises six risk types (Strategy and products, Finance and reporting, Partners, People, Operations, Legal and compliance). |
1. Strategy and products | ||||||||||||||||||||
Our future success depends on our ability to respond timely to commercial and technological developments in the semiconductor industry | ||||||||||||||||||||
Risk category: | Business model, Innovation | |||||||||||||||||||
Our success in developing new technologies, products and services, and in enhancing our existing products and services, depends on a variety of factors. These include the success of our and our suppliers’ R&D programs and the timely and successful completion of product development and design relative to competitors, or more costly. Our business will suffer if the technologies we pursue to assist our customers in producing smaller and more energy-efficient chips are not as effective as those developed by competitors. Our business will also suffer if our customers do not adopt technologies that we develop, or adopt new technological architectures that are less focused on lithography products. The success of our EUV 0.55 NA (High-NA) technology, which we believe is critical for keeping pace with Moore’s Law, remains dependent on continuing technical advances by us and our suppliers. We invest considerable financial resources to develop and introduce new and enhanced technologies, products and service offerings. If we are unsuccessful in developing (or if our customers do not adopt) these technologies, products and service offerings such as EUV 0.55 NA and multibeam inspection, or if alternative technologies or processes are successfully introduced by others, our competitive position and business may suffer. | In addition, we make significant investments in developing new products and product enhancements, and we may be unable to recoup some or all of these investments. We may incur impairment charges on capitalized technology including prototypes or incur costs related to inventory obsolescence, as a result of technological changes. Such costs may increase as the complexity of technology increases. Due to the highly complex nature and costs of our systems, including newer technologies, our customers may purchase existing technology systems rather than new leading-edge systems, or may delay their investment in new technology systems to the extent that such investment is not economical or required, given their product cycles. Global economic conditions affect our customers’ investment decisions, leading to uncertainties on the timing around the introduction of and demand for new leading-edge systems. Some of our customers have experienced and may continue to experience delays in implementing their product roadmaps. This increases the risk of slowing down the overall transition period (or cadence) for the introduction of new nodes, and therefore new systems. We also depend on our suppliers to maintain their development roadmaps to enable us to introduce new technologies on a timely basis. If they are unable to keep pace, whether due to technological factors, lack of financial resources or otherwise, this could prevent us from meeting our development roadmaps. | |||||||||||||||||||
The success of new product introductions is uncertain and depends on our ability to successfully execute our R&D programs | ||||||||||||||
Risk category: | Product roadmap execution, Innovation | |||||||||||||
As our lithography systems and applications have become increasingly complex, the costs and time periods to develop new products and technologies have increased. We expect such costs and time periods to continue to increase. In particular, developing new technology, such as EUV 0.55 NA (High-NA) and multibeam, requires significant R&D investments by us and our suppliers to meet our and our customers’ technology demands. Our suppliers may not be able or willing to invest the resources necessary to continue the (co-)development of the new technologies to the extent that such investments are necessary. This may result in ASML contributing funds to such R&D programs or limiting the R&D investments that we can undertake. Furthermore, if our R&D programs are not successful in developing the desired new technology on time or at all, we may be unsuccessful in introducing new products and unable to recoup our R&D investments. In light of the high levels of customer demand, we may prioritize our resources toward increasing production over R&D programs. | ||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 57 | ||||||||||||||||||
Risk factors (continued) |
We face intense competition | The semiconductor industry can be cyclical and we may be adversely affected by any downturn | We derive most of our revenues from the sale of a relatively small number of products | |||||||||||||||||||||||||||||||||||||||||||||
Risk category: | Competition | Risk category: | Industry cycle risk | Risk category: | Business model | ||||||||||||||||||||||||||||||||||||||||||
The semiconductor equipment industry is highly competitive. Our competitiveness depends upon our ability to develop new and enhanced lithography equipment, related applications and services that bring value to our customers and are competitively priced and introduced on a timely basis – as well as our ability to protect and defend our intellectual property, trade secrets or other proprietary information. We compete primarily with Canon and Nikon in respect of DUV systems. Both Canon and Nikon have substantial financial resources and broad patent portfolios. Each continues to offer products that compete directly with our DUV systems, which may impact our sales or business. In addition, adverse market conditions, long-term overcapacity or a decrease in the value of the Japanese yen in relation to the euro could further intensify price-based competition, resulting in lower prices and lower sales and margins. We also face competition from new competitors with substantial financial resources, as well as from competitors driven by the ambition of self-sufficiency in the geopolitical context. Furthermore, we face competition from alternative technological solutions or semiconductor manufacturing processes, particularly if we are unsuccessful in developing new EUV technology, products and product enhancements in a timely and cost-competitive manner. We also compete with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation. These applications effectively compete with our Applications offering, which is a significant part of our business. | The semiconductor industry has historically been cyclical. As a supplier to the global semiconductor industry, we are subject to the industry’s business cycles, and the timing, duration and volatility are difficult to predict and can have a significant impact on semiconductor manufacturers and therefore ASML. Newer entrants to the industry, including Chinese semiconductor manufacturers, could increase the risk of cyclicality in the future. Certain key end-market customers – Memory and Logic – exhibit different levels of cyclicality and different business cycles. Sales of our lithography systems, services and other holistic lithography products depend in large part upon the level of capital expenditures by semiconductor manufacturers. These in turn are influenced by industry cycles, the drive for technological sovereignty and a range of competitive and market factors, including semiconductor industry conditions and prospects. The timing and magnitude of capital expenditures of our customers also impact the available production capacity of the industry to produce chips, which can lead to imbalances in the supply and demand of chips. Reductions or delays in capital expenditures by our customers, or incorrect assumptions by us about our customers’ capital expenditures, could adversely impact our business. In addition, industry trends that are currently positively impacting our business, such as increasing capital expenditures by our customers, may not continue. | Our ability to maintain profitability in an industry downturn will depend substantially on whether we are able to lower our costs to break-even level. If sales decrease significantly as a result of an industry downturn and we are unable to adjust our costs over the same period, and if down payments need to be returned, our net income may decline significantly or we may suffer losses. As we have significantly increased our organization in terms of employees, infrastructure, manufacturing capacity and other areas, we may not be able to adjust our costs in the event of an industry downturn. In addition, we are facing a weakening of the global economy. Economic uncertainty frequently leads to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders. The tightening of credit markets, rising interest rates and concerns regarding the availability of credit could make it more difficult for our customers to raise capital, whether debt or equity, to finance their purchases of equipment, including the products we sell. Reduced demand, combined with delays in our customers’ ability to obtain financing (or the unavailability of such financing) may adversely affect our product sales and revenues and therefore may harm our business and operating results. If we are unable to timely and appropriately adapt to changes resulting from difficult macroeconomic conditions, our business, financial condition or results of operations may be materially and adversely affected. | We derive most of our revenues from the sale of a relatively small number of lithography systems (345 units in 2022 and 309 units in 2021). As a result, the timing of shipments, including any delays, and recognition of system sales for a particular reporting period from a small number of systems, with an increase in sales prices, may have a material adverse effect on our business, financial condition and results of operations in that period. In addition, we may not be able to increase installed base revenues to the extent we planned, as, for example, customers may perform more of these services themselves or find other third-party suppliers to provide them. | ||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 58 | ||||||||||||||||||
Risk factors (continued) |
Failure to adequately protect intellectual property, trade secrets or other proprietary information could harm our business | Defending against intellectual property claims brought by others could harm our business | |||||||||||||||||||||||||||||||||||||
Risk category: | Intellectual property rights | Risk category: | Intellectual property rights | |||||||||||||||||||||||||||||||||||
We rely on intellectual property (IP) rights such as patents and copyrights to protect our proprietary technology. However, we face the risk that such protective measures could prove to be inadequate, and we could suffer material harm because, among other matters: | In addition, legal proceedings may be necessary to enforce our IP rights and the validity and scope may be challenged by others. Any such proceedings may result in substantial costs and diversion of management resources, and, if unfavorable decisions are made, could result in significant costs or have a significant impact on our business. We have experienced and may in the future experience misappropriation attacks by third parties or our employees, including theft of intellectual property, trade secrets, or other proprietary or confidential information. For example, we have experienced unauthorized misappropriation of data relating to proprietary technology, as described under “Risk Factors – Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations”. As a result of such incidents, third parties or others have or may, without authorization, obtain, copy, use or disclose our intellectual property, trade secrets or other proprietary information despite our efforts to protect them. | In the course of our business, we have been in the past and are subject to claims by third parties alleging that our products or processes infringe upon their IP. If successful, such claims could limit or prohibit us from developing our technology, manufacturing and selling our products. In addition, our customers or suppliers may be subject to claims of infringement from third parties, including patent holder companies, alleging that our products used by such customers in the manufacturing of semiconductor products and/or the processes relating to the use of our products infringe on one or more patents issued to such third parties. If such claims are successful, we could be required to indemnify our customers for some or all of any losses incurred or damages assessed against them as a result of such infringement. | We also may incur substantial licensing or settlement costs to settle claims or to potentially strengthen or expand our intellectual property rights or limit our exposure to intellectual property claims of third parties. Patent litigation is complex and may extend for a protracted period of time, giving rise to the potential for both substantial costs and diverting the attention of key management and technical personnel. Potential adverse outcomes from patent litigation may include payment of significant monetary damages, injunctive relief prohibiting our manufacturing, exporting or selling of products, reputational damage and/or settlement involving significant costs to be paid by us. | |||||||||||||||||||||||||||||||||||
–IP laws may not sufficiently support our proprietary rights or may change adversely in the future; –Our agreements (e.g. confidentiality, licensing) with our customers, employees and technology development partners and others to protect our IP may not be sufficient or may be breached or terminated; –Patent rights may not be granted or interpreted as we expect; –Patent rights will expire, which may result in key technology becoming widely available that may harm our competitive position; –The steps we take to prevent misappropriation or infringement of our proprietary rights may not be successful; –IP rights and trade secrets are difficult to enforce in countries where the application and enforcement of the laws governing such rights may not have reached the same level compared with other jurisdictions where we operate; and –Third parties may be able to develop or obtain patents for our or similar competing technology. | ||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 59 | ||||||||||||||||||
Risk factors (continued) |
We are exposed to economic, geopolitical and other developments in our international operations | We may be unable to make desirable acquisitions or to integrate successfully any businesses we acquire | |||||||||||||||||||||||||||||||||||||
Risk category: | Political | Risk category: | Mergers & acquisitions | |||||||||||||||||||||||||||||||||||
Global trade issues and changes in and uncertainties with respect to multilateral and bilateral treaties and trade policies, and international trade disputes, trade sanctions, export controls, tariffs and similar regulations, impact our ability to deliver our systems, technology and services internationally. In particular, our ability to deliver technology in certain countries such as China has been and continues to be impacted by our ability to obtain required licenses and approvals. Our business involves the sale of systems and services to customers in a number of countries, including China, where our business has grown in recent years, and includes technologies that may be the subject of increased export regulations or policies. The US government has enacted trade measures, including national security regulations and restrictions on conducting business with certain Chinese entities, restricting our ability to provide certain products and services to such entities without a license. The list of Chinese entities impacted by trade restrictions, as well as the export regulation requirements and the implementation and enforcement of such regulations, has increased with the addition of certain entities to the Entity List, and more recently by the Additional Export Controls on Semiconductor Manufacturing Items imposing license requirements on US-origin parts and US persons destined toward fabs in China working on advanced technology nodes. The list of restricted customers is subject to change. | These and further developments in multilateral and bilateral treaties, national regulation, and trade, national security and investment policies and practices have affected and may further affect our business, and the businesses of our suppliers and customers. Such developments have impacted and continue to impact our ability to obtain necessary licenses (among others from the Dutch government), including authorizations for use of US technology and for employees producing and developing such technology. Such developments, including the drive for technological sovereignty, could also lead to long-term changes in global trade, competition and technology supply chains, which could adversely affect our business and growth prospects. Certain of our manufacturing facilities as well as our supply chain and customers are located in Taiwan. Customers in Taiwan represented 38.2% of our 2022 total net sales and 39.4% of our 2021 total net sales. Taiwan has a unique international political status. Changes in relations between Taiwan and the People’s Republic of China, Taiwanese government policies and other factors affecting Taiwan’s political, economic or social environment could, for example, impact our ability to service our customers in Taiwan, which could have a material adverse effect on our business, financial condition and results of operations. Furthermore, certain of our facilities as well as customers are located in South Korea. Customers in South Korea represented 28.6% of our 2022 total net sales and 33.4% of our 2021 total net sales. In addition, there are tensions with the Democratic People’s Republic of Korea (North Korea) which have existed since the division of the Korean Peninsula following World War II. A worsening of relations between those countries or the outbreak of war on the Korean Peninsula could have a material adverse effect on our business, financial condition or results of operations. | From time to time, we may acquire, or seek to acquire, businesses or technologies to complement, enhance or expand our current business or products or that might otherwise offer us growth opportunities. Any such acquisitions could lead to failure to achieve our financial or strategic objectives or our ability to perform as we plan or disrupt our ongoing business and adversely impact our results of operations. Furthermore, our ability to complete such transactions may be hindered by a number of factors, including potential difficulties in obtaining government approvals. Any acquisition that we make could pose risks related to the integration of the new business or technology with our business and organization. We cannot be certain that we will be able to achieve the benefits we expect from a particular acquisition investment. Such transactions may also strain our managerial and operational resources, as the challenge of managing new operations may divert our management from day-to-day operations. Furthermore, we may be unable to retain key personnel from acquired businesses or we may have difficulty integrating employees, business systems and technology. The controls, processes and procedures of acquired businesses may also not adequately ensure compliance with laws and regulations, and we may fail to identify compliance issues or liabilities. | In connection with acquisitions, antitrust and national security regulators have in the past and may in the future impose conditions on us, including requirements to divest assets or other conditions that could make it difficult for us to integrate the businesses that we acquire. Furthermore, we may have difficulty in obtaining or be unable to obtain antitrust and national-security clearances, which could inhibit future desired acquisitions. As a result of acquisitions, we have recorded a significant amount of goodwill and intangible assets. Accounting standards require periodic review of these assets for indicators of impairment. If one or more indicators of impairment are found to exist, then valuation of the related asset could change and may incur impairment charges. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 60 | ||||||||||||||||||
Risk factors (continued) |
We may not be able to achieve our Environmental, Social and Governance (ESG) objectives or adapt and respond timely to emerging ESG expectations and regulations | |||||||||||||||||||||||||||||
Risk category: | Climate change, Product stewardship | ||||||||||||||||||||||||||||
Companies across all industries are facing increasing scrutiny relating to their ESG policies. Investors, capital providers, shareholder advocacy groups, other market participants, customers and other stakeholders are increasingly focused on ESG practices and, in recent years, have placed increasing importance on the implications and social cost of their investments. In particular, within the semiconductor industry, there is a focus on contribution to society and minimizing environmental and social impacts of products throughout all life-cycle stages. Failure to achieve our ESG objectives, meet the emerging ESG expectations of our stakeholders and/or timely respond to enhanced regulations and disclosure obligations could negatively affect our brand and reputation, which may impede our ability to compete as effectively to recruit or retain employees, which may adversely affect our operations. | Climate change contributes to increasing severity and frequency of extreme weather events, rising sea levels and droughts that can impact continuity of our operations and/or our supply chain. Climate change concerns and the potential environmental impact of climate change have resulted in and may result in new laws and regulations that may affect us, our suppliers and our customers. Such laws or regulations could cause us to incur additional direct costs for compliance, as well as increased indirect costs resulting from our value chain. Furthermore, the ability to improve our product-related environmental performance (such as energy efficiency) may be affected by the complexity of our technology and products. In order to meet our ESG goals and requirements in this regard, we are dependent on our suppliers and their ability to reduce their ecological footprints. In addition, we are dependent on our customers and/or our customers may not be satisfied with our progress, which can impact demand. | A global trend to transition to a lower-carbon economy has resulted in the imposition of increased regulations that could lead to technology restrictions, modification of product designs, an increase in energy prices and energy or carbon taxes, restrictions on pollution, required remediation measures or other requirements that could impact our business and increase our costs. A variety of regulatory developments have been introduced that focus on restricting or managing the emission of carbon dioxide and other greenhouse gases. This could result in a need to redesign products and/or purchase at higher costs new equipment or materials with lower carbon footprints. We publish disclosures on ESG matters relating to our business and our partners in compliance with applicable regulations and guidance and other data which may not be required but which we nonetheless elect to disclose. | Such disclosure includes statements based on our expectations and assumptions, involving forecasts about costs and future circumstances, which may prove to be incorrect. In addition, our ESG Sustainability strategy may not have the intended results, and our estimates concerning the timing and cost of implementing and ability to meet stated goals are subject to risks and uncertainties, which could result in us not meeting our goals on expected timing or at all or within expected costs. In addition, ESG disclosure requirements are increasing and authorities have proposed disclosure requirements on ESG matters which differ from the requirements that we are currently subject to, so we face risks in compliance with such regulations, including the risk of complying with requirements in different jurisdictions, costs associated with such compliance and potential liability in the event that our ESG disclosures prove incorrect. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 61 | ||||||||||||||||||
Risk factors (continued) |
2. Finance and reporting | |||||||||||||||||||||||||||||
We are exposed to financial risks, including liquidity risk, interest rate risk, credit risk, foreign exchange risk and inflation | |||||||||||||||||||||||||||||
Risk category: | Liquidity, Interest rate, Counterparty credit, Foreign exchange | ||||||||||||||||||||||||||||
We are a global company and are exposed to a variety of financial risks, including those related to liquidity, interest rate, credit, foreign exchange and inflation. Liquidity risk Negative developments in our business or global capital markets could affect our ability to meet our financial obligations or to raise or refinance debt in the capital or loan markets. In addition, we might be unable to repatriate cash from a country when needed for use elsewhere due to legal restrictions or required formalities. Interest rate risk Our Eurobonds bear interest at fixed rates. Our cash and investments as well as our revolving credit facility | bear interest at a floating rate. Failure to effectively hedge this risk could impact our financial condition and results of operation. In addition, we could experience an increase in borrowing costs due to a ratings downgrade (or the expectation of a downgrade), developments in capital and lending markets or developments in our businesses. | finance receivables at December 31, 2022, compared with €3,855.2 million, or 83.7%, at December 31, 2021. Accordingly, business failure or insolvency of one of our main customers could result in significant credit losses. | to the euro. We incur costs of sales predominantly in euros, with portions also denominated in US and Taiwanese dollars. A small portion of our operating results are driven by movements in currencies other than the euro, US dollar, Japanese yen, South Korean won, Taiwanese dollar or Chinese yuan. Inflation risk We are exposed to increases in costs due to inflation for costs of goods, transportation and wages, which may impact our profitability. We are currently experiencing higher-than-normal inflation, which impacts our costs and margins to the extent we are not able to pass on increased costs in our prices. | ||||||||||||||||||||||||||
Currency risk | |||||||||||||||||||||||||||||
Our Financial Statements are expressed in euros. Accordingly, our results of operations are exposed to fluctuations in exchange rates between the euro and other currencies. Changes in currency exchange rates can result in losses in our Financial Statements. We are particularly exposed to fluctuations in the exchange rates between the US dollar and the euro, and to a lesser extent to the Japanese yen, the South Korean won, the Taiwanese dollar and the Chinese yuan, in relation | |||||||||||||||||||||||||||||
Counterparty credit risk | |||||||||||||||||||||||||||||
We are exposed to credit risk in particular with respect to financial counterparties with whom we hold our cash and investments as well as our customers. As a result of our limited number of customers, credit risk on our receivables is concentrated. Our three largest customers (based on total net sales) accounted for €5,252.8 million, or 78.6%, of accounts receivable and | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 62 | ||||||||||||||||||
Risk factors (continued) |
3. Partners | |||||||||||||||||||||||||||||
Our success is highly dependent on the performance of a limited number of critical suppliers of single-source key components | |||||||||||||||||||||||||||||
Risk category: | Supply chain disruption, Supplier strategy and performance | ||||||||||||||||||||||||||||
We rely on outside vendors for components and subassemblies used in our systems, including the design thereof. These components and subassemblies are obtained from a single supplier or a limited number of suppliers. As our business has grown, our dependence on single suppliers or a limited number of suppliers has grown, because the highly specialized nature of many of our components, particularly for EUV including 0.55 NA systems, means it is not economical to source from more than one supplier. Our sourcing strategy therefore (in many cases) prescribes ‘single sourcing, dual competence’. Our reliance on a limited group of suppliers involves several risks, including a potential inability to obtain an adequate supply of required components or subassemblies in time and at acceptable costs, and reduced control over pricing and quality. Delays in supply of these components and subassemblies, which could occur for a variety of reasons, such as disruptions experienced by our suppliers, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockades, sabotage or other disasters, natural and otherwise, can lead to delays in delivery of our products which could impact our business. For example, certain of our suppliers experienced disruptions in their operations | as a result of chip and material shortages. A prolonged inability to obtain adequate deliveries of components or subassemblies, or any other circumstance that requires us to seek alternative sources of supply, could significantly hinder our ability to deliver our products in a timely manner, which could damage relationships with our customers and materially impact our business. The number of lithography systems we are able to produce may be limited by the production capacity of one of our key suppliers, Carl Zeiss SMT GmbH, which is our sole supplier of lenses, mirrors, illuminators, collectors and other critical optical components (which we refer to as optics). We have an exclusive arrangement with Carl Zeiss SMT GmbH, and if they are unable to maintain and increase production levels, we could be unable to fulfill orders, which could have a material impact on our business and damage relationships with our customers. If Carl Zeiss SMT GmbH were to terminate its supply relationship with us or be unable to maintain production of optics over a prolonged period, we would effectively cease to be able to conduct our business. | From time to time, we experience supply constraints which can impact our production, particularly during periods of high levels of demand such as those we have experienced in 2022 and continue to experience. In 2022, we were impacted by delays and shortages in our supply chain, resulting in a late start on the assembly of a number of systems. In addition, due to high demand, we reduced cycle time in our factory to ship more systems. We have achieved this through a fast shipment process that skips some of the testing in our factory. Final testing and formal acceptance then takes place at the customer site. This provides our customers with earlier access to wafer output capacity but also leads to a delay of revenue recognition for those shipments until formal customer acceptance. We and our suppliers are investing in additional capacity to meet the demand. However, increasing capacity takes time, and we may be unable to meet the full demand of our customers for a few years. Further, we face the risk that demand may not continue to increase, which could result in overcapacity and loss of investment in increasing capacity. | In addition, most of our key suppliers, including Carl Zeiss SMT GmbH, have a limited number of manufacturing facilities, the disruption of which may significantly and adversely affect our production capacity. Lead times in obtaining components have increased as our products have become more complex. A failure by us to adequately predict demand for our systems or any delays in the shipment of components can result in insufficient supply of components, which can lead to delays in delivery of our systems and can limit our ability to react quickly to changing market conditions. Conversely, a failure to predict demand could lead to excess and obsolete inventory. We are also dependent on suppliers to develop new models and products and to meet our development roadmaps. If our suppliers do not meet our requirements or timetable in product development, our business could suffer. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 63 | ||||||||||||||||||
Risk factors (continued) |
4. People | ||||||||||||||||||||||||||||||||||||||
A high percentage of net sales is derived from a few customers | Our business and future success depend on our ability to manage the growth of our organization and attract and retain a sufficient number of adequately educated and skilled employees | |||||||||||||||||||||||||||||||||||||
Risk category: | Customer dependency | Risk category: | Human resources, Knowledge management, Organizational effectiveness | |||||||||||||||||||||||||||||||||||
Historically, we have sold a substantial number of lithography systems to a limited number of customers. Customer concentration can increase because of continuing consolidation in the semiconductor manufacturing industry. In addition, although the applications part of our holistic lithography solutions constitutes an increasing portion of our revenue, a significant portion of those customers are the same customers as those for our systems. Consequently, while the identity of our largest customers may vary from year to year, sales may remain concentrated among relatively few customers in any particular year. The recognized total net sales to our largest customer amounted to €7,046.9 million, or 33.3% of total net sales in 2022, compared with €6,881.1 million, or 37.0% of total net sales in 2021. In 2022, 55.8% of total net sales were made to two customers. The loss of any significant customer or any significant reduction or delay in orders by such a customer may have a material adverse effect on our business, financial condition and results of operations. | Our business and future success depends significantly upon our ability to attract and retain employees, including a large number of highly qualified professionals. Competition for such personnel is intense and has intensified in the last year. Despite our ability to grow our employee base significantly, attracting sufficient numbers of qualified employees to meet our growing needs will remain a challenge. This risk of not being able to attract, onboard and retain qualified personnel increases as our business grows. Our R&D programs require a large number of qualified employees. If we are unable to attract sufficient numbers of such employees, this could affect our ability to conduct our R&D on a timely basis. Also, the loss of key employees for unexpected reasons such as resignation or long-term illness is a risk. | Moreover, as a result of the uniqueness and complexity of our technology, qualified engineers capable of working on our systems are scarce and generally not available from other industries or companies. As a result, we have to educate and train our employees to work on our systems. Retention of those key employees is a critical success factor for us. Furthermore, the increasing complexity of our products results in a longer learning curve for new and existing employees and suppliers, leading to an inability to decrease cycle times, and may result in significant additional costs. Our suppliers face similar risks in attracting and retaining qualified employees, including those in connection with programs that will support our R&D programs and technology developments. If our suppliers are unable to attract and retain qualified employees, this could impact our R&D programs or deliveries of components to us. | In recent years, our organization has grown significantly. We may be unable to effectively manage, monitor and control our employees, facilities, operations and other resources. Our rapid growth in recent years, driven by strong customer demand, puts pressure on our organization and employees, which can negatively impact employee well-being. This may in turn negatively impact the efficiency of our operations, our ability to ensure compliance with laws and regulations as well as our reputation as an employer. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 64 | ||||||||||||||||||
Risk factors (continued) |
5. Operations | ||||||||||||||||||||||||||||||||||||||
We may face challenges in managing the industrialization of our products and bringing them to high-volume production | We are dependent on the continued operation of a limited number of manufacturing facilities | |||||||||||||||||||||||||||||||||||||
Risk category: | Product industrialization | Risk category: | Continuity of own operation | |||||||||||||||||||||||||||||||||||
Bringing our products to high-volume production at a value-based price and in a cost-effective manner depends on our ability to manage the industrialization of our products and to manage costs. Customer adoption of our products depends on the performance of our products in the field. As our products become more complex, we face an increasing risk that products may not meet development milestones or specifications and may not perform according to specifications, including quality standards. If our products do not perform according to specifications and performance criteria or if quality or performance issues arise, this may result in additional costs, reduced demand for our products and our customers being unable to meet planned wafer capacity. Transitioning our newly developed products to full-scale production requires the expansion of our infrastructure, including enhancing our manufacturing capabilities, increasing the supply of components and training qualified personnel. It may also require our suppliers to expand their infrastructure capabilities. If we or our suppliers are unable to expand infrastructure as necessary, we may be unable to introduce new technologies, products or product enhancements or reach high-volume production of newly developed products on a timely basis or at all. | In addition, when we are successful in industrializing new products, it can take years to reach profitable margins, as was the case for EUV 0.33 NA. New technologies might not have the same margins as existing technologies, and we might not be able to adjust value-based pricing and/or cost in an effective manner. In addition, the introduction of new technologies, products or product enhancements also impacts ASML’s liquidity, as new products may have higher cycle times, resulting in increased working capital needs. This impact on liquidity increases as our products become more complex and expensive. The capability, capacity and costs associated with providing the required customer support function to cover the increasing number of shipments and service a growing number of EUV systems that are operational in the field could affect the timing of shipments. It could also impact the efficient execution of maintenance, servicing and upgrades, which is key to our systems continuing to achieve the required productivity. | All of our manufacturing activities, including subassembly, final assembly and system testing, take place in cleanroom facilities in Veldhoven (the Netherlands), Berlin (Germany), Wilton, San Diego (US), Pyeongtaek (South Korea), and Linkou and Tainan (Taiwan). These facilities may be subject to disruption for a variety of reasons, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockages, sabotage or other disasters, natural and otherwise. We cannot ensure that alternative production capacity would be available if a major disruption were to occur. In 2022, we experienced a fire in our Berlin operations which required significant recovery efforts to secure our operations. | As our organization grows, we are not able to fully insure our risk exposure. In addition, not all disasters are insurable. As we are unable to duly insure against potential losses, we are subject to the financial impact of uninsured losses, which can have an adverse impact on our financial condition and results of operation. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 65 | ||||||||||||||||||
Risk factors (continued) |
We face challenges to meet demand | The nature of our operations exposes us to health, safety and environment risks | |||||||||||||||||||||||||||||||||||||
Risk category: | Manufacturing and install, Human resources, Supplier strategy and performance | Risk category: | Environment, health and safety | |||||||||||||||||||||||||||||||||||
We have in recent years and are continuing to experience increasing demand across all our market segments and product portfolio because our systems play critical roles in meeting end-market demand. This high level of demand brings challenges. We have been and are continuing to increase production capacity in our end-to-end supply chain to meet this demand, but we face challenges in increasing capacity. For example, in order to increase our capacity, we depend on our suppliers increasing their capacity, and it takes time to build the production space and equipment required for expansion. We and our supply chain also need to obtain permits to make expansion possible; these may not be (timely) granted. It is a challenge for ASML and our suppliers to hire and retain more employees in the current competitive labor market. Our processes and systems may not be able to adequately support our growth. In addition, our end-to-end supply chain is facing a shortage of materials which is hampering our growth. | If we are not successful in increasing our capacity to meet demand, this could impact our relationships with customers and our competitive position. The increased demand and resultant supply constraints that we are continuing to experience lead to longer lead times for customers which could result in customers changing their sourcing strategy to become less dependent on ASML, which impacts our market share in certain product offerings. Where we are able to increase our capacity, we are subject to increased risk of a downturn, as it becomes more difficult for us to reduce costs in the event of an industry downturn. | Hazardous substances are used in the production and operation of our products and systems, which subjects us to a variety of governmental regulations relating to environmental protection and employee and product health and safety. This includes the transport, use, storage, discharge, handling, emission, generation, and disposal of toxic or other hazardous substances. In addition, operating our systems (which use lasers and other potentially hazardous systems) can be dangerous and can result in injury. The failure to comply with current or future regulations could result in substantial fines being imposed on us, suspension of production, alteration of our manufacturing and assembly and test processes, damage to our reputation and/or restrictions on our operations or sale or other adverse consequences. | Additionally, our products have become increasingly complex. This requires us to invest in continued risk assessments and development of appropriate preventative and protective measures for health and safety for both our employees (in connection with the production and installation of our systems and field options and performance of our services) and our customers’ employees (in connection with the operation of our systems). Our health and safety practices may not be effective in mitigating all health and safety risks. Failure to comply with applicable regulations or the failure of our implemented practices for customer and employee health and safety could subject us to significant liabilities. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 66 | ||||||||||||||||||
Risk factors (continued) |
Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations | |||||||||||||||||||||||||||||
Risk category: | Security, Information technology, Process effectiveness and efficiency | ||||||||||||||||||||||||||||
We rely on the accuracy, availability and security of our information technology (IT) systems. Despite the measures that we have implemented, including those related to cybersecurity, our systems could be breached or damaged by computer viruses and systems attacks, natural or man-made incidents, disasters or unauthorized physical or electronic access, and we have experienced some of these incidents. We are experiencing an increasing number of cyberattacks on our IT systems as well as the IT systems of our suppliers, customers and other service providers, whose systems we do not control. These attacks include malicious software (malware), attempts and acts to gain unauthorized access to data and other electronic and physical security breaches of our IT systems. They also include the IT systems of our suppliers, customers and other service providers that have led and could lead, for us, our customers, suppliers or other business partners – including R&D partners – to disruptions in critical systems, unauthorized release, misappropriation, corruption or loss of data or confidential information (including confidential information relating to our customers, employees and suppliers). Further, we depend on our employees and the employees of our suppliers to appropriately handle confidential and sensitive data and deploy our IT resources in a safe and secure manner that does not expose our network systems to security breaches or the loss of data. | Inadvertent disclosure or actions or malfeasance by our employees, those of our suppliers or other third parties have resulted and may in the future result in a loss or misappropriation of data or a breach or interruption of our IT systems, and could result in competitive harm and violate export controls and other laws and regulations which could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny or export control measures. We have experienced unauthorized misappropriation of data relating to proprietary technology by a (now) former employee in China. We promptly initiated a comprehensive internal review. Based upon our initial findings we do not believe that the misappropriation is material to our business. However, as a result of the security incident, certain export control regulations may have been violated. ASML has therefore reported the incident to relevant authorities. We are implementing additional remedial measures in light of this incident. In addition, any system failure, accident or security breach could result in business disruption, theft of our intellectual property or trade secrets (including our proprietary technology), unauthorized access to, or disclosure of, customer, personnel, supplier or other confidential information, corruption of our data or of our systems, reputational damage or litigation and violation of applicable laws. | Furthermore, computer viruses or other malware may harm our systems and software and could be inadvertently transmitted to our customers’ systems and operations, which could result in loss of customers, litigation, regulatory investigation and proceedings that could expose us to civil or criminal liabilities and diversion of significant management attention and resources to remedy the damages that result. We may also be required to incur significant costs to protect against or repair the damage caused by these disruptions or security breaches, including, for example, rebuilding internal systems, implementing additional threat protection measures, providing modifications to our products and services, defending against litigation, responding to regulatory inquiries or actions, paying damages, or taking other remedial steps with respect to third parties. Further, remediation efforts may not be successful and could result in interruptions, delays or cessation of service, unfavorable publicity, damage to our reputation, customer allegations of breach-of-contract, possible litigation and loss of existing or potential customers that may impede our sales or other critical functions. Cybersecurity threats are constantly evolving. We remain potentially vulnerable to additional known or as yet unknown threats, as in some instances, we, our customers, partners and our suppliers may be unaware of an incident or its magnitude and effects. | We also face the risk that we could unintentionally expose our customers to cybersecurity attacks through the systems we deliver to them, including in the form of malware or other types of attacks, as described above, which could harm our customers. Furthermore, we have increased the level of remote working within our organization, which increases the risks of cybersecurity incidents. ASML’s visibility and importance for the semiconductor industry continues to increase. There is a risk that this may lead to actions that may adversely impact the security of ASML or the safety of its employees. In addition, processes and systems may not be able to adequately support the growth that we have experienced in recent years and continue to experience. From time to time, we implement updates to our IT systems and software, which can disrupt or shut down our IT systems. We may not be able to successfully launch and integrate these new systems as planned without disruption to our operations. For example, we are currently implementing a new ERP system and infrastructure. As a result of this system implementation or otherwise, we have and could continue to experience disruptions in our operations. | ||||||||||||||||||||||||||
Read more in:Governance - Responsible business - Information security. | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 67 | ||||||||||||||||||
Risk factors (continued) |
6. Legal and compliance | ||||||||||||||||||||||||||||||||||||||
We are subject to increasingly complex regulatory and compliance obligations | Changes in taxation could affect our future profitability | |||||||||||||||||||||||||||||||||||||
Risk category: | Violation of laws and regulations | Risk category: | Violation of laws and regulations | |||||||||||||||||||||||||||||||||||
In recent years, our business has grown significantly in terms of sales, operations, employees and our business infrastructure. As a result, compliance with laws and regulations, including with as well as our internal policies and standards, such as without limitation, the ASML Code of Conduct, has become more complex. Furthermore, as we operate in different countries in the world, we have become increasingly subject to compliance with additional laws and regulations in such jurisdictions, including but not limited to export control, anti-corruption, anti-bribery, antitrust and ESG regulations, which can be complex. We may also be subject to investigations, audits and reviews by authorities in such jurisdictions regarding compliance with laws and regulations, including tax laws. | In addition, the existing laws and regulations that we are subject to, including regulations relating but not limited to trade, national security, tax, export controls, reporting, product compliance, anti-corruption laws, antitrust, human rights, data protection, spatial planning and environmental laws, are becoming more complex and the trade and national security environment has resulted in increasing restrictions. Trade and security regulations limit our ability to sell our products and services in certain jurisdictions and we face the risk of further restrictions. We have experienced delays in permits for shipments as well as restrictions on shipping certain products or components to certain customers. Such changes in the regulations that apply to our business can increase compliance costs and the risk of non-compliance. Non-compliance could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny measures. Furthermore, additional regulations could impact or limit our ability to sell our products and services in certain jurisdictions. | We are subject to income taxes in the Netherlands and the other countries in which we are active. Our effective tax rate has fluctuated in the past and may fluctuate in the future. Changes in our business environment can affect our effective tax rate. The same applies to changes in tax legislation in the countries where we operate, together with developments driven by global organizations such as the OECD, as well as any change in approach to tax by tax authorities. All these initiatives have already resulted in and may result in further increased compliance obligations for ASML. Additionally, this may result in an increase in our effective tax rate in future years. | Changes in tax legislation in jurisdictions where we operate may adversely impact our tax position and consequently our net income. Our worldwide effective tax rate is heavily impacted by R&D incentives included in tax laws and regulations in the countries where we operate. Examples include the so-called innovation box in the Netherlands and the foreign derived intangible income deduction/R&D credits we obtain in the US. If jurisdictions alter their tax policies/laws in this respect, it may have an adverse effect on our worldwide effective tax rate. In addition, jurisdictions levy corporate income tax at different rates. The mix of our sales over the various jurisdictions in which we operate may vary from year to year, resulting in a different mix of corporate income tax rates applicable to our profits, which can also affect our worldwide effective tax rate and impact our net income. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 68 | ||||||||||||||||||
Risk factors (continued) |
7. Other risk factors | ||||||||||||||||||||||||||||||||||||||||||||
COVID-19 or other pandemics may impact our operations | Restrictions on shareholder rights may dilute voting power | We may not declare cash dividends, conduct share buyback programs or cancel shares at all or in any particular amounts in any given year | We may be impacted by the Russia–Ukraine conflict | |||||||||||||||||||||||||||||||||||||||||
The COVID-19 pandemic and the measures implemented to address this pandemic globally may continue to impact our business, our suppliers and our customers. Pandemics can have significant impact on the global economy, which can potentially affect our end markets. The COVID-19 pandemic has increased the level of remote working within our organization, which impacts productivity and may delay our roadmap, increase the risks of cybersecurity incidents and/or impact our control environment. In addition, as we are dependent on our suppliers, disruptions to their operations as a result of the COVID-19 pandemic impact us and our ability to produce, deliver and service tools. Market demand for semiconductors and therefore our products and services can also be impacted by the COVID-19 pandemic and measures taken to address it. Further, an important part of our business involves installing and servicing tools at customer premises around the globe, and this could be impacted by travel restrictions and vaccination requirements. There is uncertainty as to how the COVID-19 pandemic could develop and the impact on global GDP, end markets and our manufacturing capability and supply chain. The impact of the pandemic on ASML will depend on future developments, including the continued severity of the pandemic, and the actions of the Dutch and other foreign governments to contain outbreaks or address their impact, which are outside of our control. | Our Articles of Association provide that we are subject to the provisions of Dutch law applicable to large corporations, called ‘structuurregime’. These provisions have the effect of concentrating control over certain corporate decisions and transactions in the hands of our Supervisory Board. As a result, holders of ordinary shares may have more difficulty in protecting their interests in the face of actions by members of our Supervisory Board than if we were not subject to the ‘structuurregime’. Our authorized share capital also includes a class of cumulative preference shares, and we have granted Stichting Preferente Aandelen ASML, a Dutch foundation, an option to acquire, at the nominal value of €0.09 per share, such cumulative preference shares. Exercise of the Preference Share Option would effectively dilute the voting power of our outstanding ordinary shares by one-half, which may discourage or significantly impede a third party from acquiring a majority of our voting shares. | We aim to pay a quarterly dividend that is growing (on an annualized basis) over time, and we conduct share buybacks from time to time. The dividend proposal, amount of share buybacks and cancellation of shares in any given year will be subject to the availability of distributable profits, retained earnings and cash, and may be affected by, among other factors, the Board of Management’s views on our potential future liquidity requirements, including for investments in production capacity and working capital requirements, the funding of our R&D programs and for acquisition opportunities that may arise from time to time, and by future changes in applicable income tax and corporate laws. The Board of Management may decide to propose not to pay a dividend or to pay a lower dividend and may suspend, adjust the amount of or discontinue share buyback programs, or we may otherwise fail to complete buyback programs. | Although we do not currently have operations in Russia or Ukraine, the impact of the military action in Ukraine creates uncertainty in the macroeconomic environment. This military action, including sanctions and other measures taken in response, have and could further adversely affect the global economy, the financial markets and supply chain, which therefore may impact customer demand, delivery of products and services to clients, as well as our ability and the ability of our supply chain to obtain parts, components and gas supply. In addition, the conflict amplifies the surge in energy prices, commodity prices, transportation costs, inflation and cyberattacks. | |||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 69 |
VIRTUAL AND AUGMENTED REALITY | |||||||||||
Virtual reality, unreal opportunities | |||||||||||
There’s more to virtual reality (VR) and augmented reality (AR) than gaming. At ASML, these technologies are helping us design, build and maintain some of the world’s most complex machines. Through VR and AR, our teams are able to manipulate designs and learn how to maintain systems – in some cases, many years before the machines themselves physically exist. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 70 | |||||||||||||||
ESG at a glance | ||||||||||||||||||||
We aim to be a leader in sustainability, and to continue driving progress toward inclusive and sustainable growth for all. |
Our vision | Our contribution to a digital, sustainable future | |||||||||||||||||||||||||
We want to contribute to expanding computing power but with minimal waste, energy use and emissions. That's why we focus on energy efficiency, climate action and circular economy. | ||||||||||||||||||||||||||
Our vision at ASML is to enable ground-breaking technology that solves some of humanity’s toughest challenges. | ||||||||||||||||||||||||||
We want to ensure that responsible growth benefits all our stakeholders – to have an attractive workplace for all and a responsible supply chain, to fuel innovation in our ecosystem and to be a valued partner in our communities. | ||||||||||||||||||||||||||
We commit to act on our responsibilities and fully anchor them in the way we do business through our focus on integrated governance, engaged stakeholders and transparent reporting. | ||||||||||||||||||||||||||
How we report on our ESG progress | ||||||||||||||||||||||||||||||||||||||
SDGs we align with | ESG Sustainability chapters | |||||||||||||||||||||||||||||||||||||
Environmental | –Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||||||||||||||||||||||
–Circular economy | Read more on page 85 > | |||||||||||||||||||||||||||||||||||||
Social | –Attractive workplace for all | Read more on page 97 > | ||||||||||||||||||||||||||||||||||||
–Our supply chain | Read more on page 109 > | |||||||||||||||||||||||||||||||||||||
–Innovation ecosystem | Read more on page 118 > | |||||||||||||||||||||||||||||||||||||
–Valued partner in our communities | Read more on page 124 > | |||||||||||||||||||||||||||||||||||||
Governance | –Managing ESG sustainability | Read more on page 134 > | ||||||||||||||||||||||||||||||||||||
–Responsible business | Read more on page 135 > | |||||||||||||||||||||||||||||||||||||
–Our approach to tax | Read more on page 147 > | |||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 71 | |||||||||||||||
Our material ESG sustainability topics |
Step 1: Understand the context | Step 2: Identify impacts | Step 3: Assess the significance of the impacts | Step 4: Prioritize the most significant impacts | ||||||||||||||||||||||||||||||||||||||||||||||||||
List of topics, positive and negative, actual and potential, short and long-term impacts | Positive and negative against their scale, scope and remediability | Most material topics influence strategy and long-term targets | |||||||||||||||||||||||||||||||||||||||||||||||||||
Shareholders | Customers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Employees | Suppliers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Society | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Key changes in the sustainability topics list from 2021 to 2022 (Step 2: Identify impacts) | ||||||||||||||||||||
2022 topics | 2021 topics | |||||||||||||||||||
Environmental | –Circular economy | –Waste management –Circular economy: Re-use –Circular economy: Recycling | ||||||||||||||||||
Environmental | –Energy management and carbon footprint: Supply chain –Energy management and carbon footprint: Operations | –Energy management operations | ||||||||||||||||||
–Energy management and carbon footprint: Product use and downstream | –Energy management products | |||||||||||||||||||
Environmental | –Biodiversity | (none) | ||||||||||||||||||
Social | –Innovation ecosystem | –IP protection –Innovations management –Innovation partnership | ||||||||||||||||||
Social | –Talent attraction, employee engagement and retention | –Talent attraction and retention –Employee engagement | ||||||||||||||||||
Social | –Responsible supply chain and product stewardship | –Responsible supply chain –Product stewardship | ||||||||||||||||||
Social | –Diversity and inclusion –Occupation health and safety –Responsible supply chain and product stewardship | –Human rights | ||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 72 | |||||||||||||||
Our material ESG sustainability topics (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 73 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Material topics 20221 | |||||||||||||||||||||||
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Energy management and carbon footprint – Product use and downstream | a) Energy-efficiency products (EUV, DUV) b) Energy consumption (EUV, DUV) c) Scope 3 downstream emissions | Negative | Actual | Downstream customers and society | |||||||||||||||||||
Energy management and carbon footprint – Supply chain | a) Energy management supply chain b) Scope 3 upstream emissions | Negative | Actual | Upstream suppliers and partners | |||||||||||||||||||
Energy management and carbon footprint – Operations | a) Energy use within and management of own buildings and factories b) Reduction of energy consumption c) Use of renewable energy for our operations d) Resulting scope 1 and 2 GHG emissions | Negative | Actual | Own operations | |||||||||||||||||||
Circular economy | a) Waste generated through operations (e.g. waste from parts, packaging, construction, hazardous waste and other waste directed to disposal) b) Use of non-renewable materials and resources | Negative | Actual | Entire value chain | |||||||||||||||||||
c) Use of renewable materials and resources d) Measure to reduce and manage waste from operations (e.g. recycling, re-use and waste diverted from disposal) e) Measure to reduce the use of materials and move to circulation of products and material | Positive | Actual | Entire value chain | ||||||||||||||||||||
Diversity and inclusion | a) Workforce gender diversity b) Diversity of governance bodies c) Workforce inclusiveness d) Pay equality, i.e. the ratio of basic salary and remuneration of women to men e) Diversity (age, gender, cultural background, etc.) of new hires, promotions and turnover | Positive | Actual | Own operations | |||||||||||||||||||
Talent attraction, employee engagement and retention | a) New employee hires and employee turnover b) Working conditions, including working time, rest periods, holidays, dismissal practices, maternity protection, support for collective bargaining to determine wages, etc. c) Remuneration practices, including how these relate to legal and industry minimums, whether they enable employees to meet their basic needs, how overtime is compensated, etc. d) Other benefits, including life insurance, healthcare, disability and invalidity coverage, parental leave, retirement provision, etc. | Positive | Actual | Own operations | |||||||||||||||||||
Occupational health and safety | a) Work-related injuries, ill health and well-being b) Work-related hazards and risks, including the identification, assessment and measures taken to manage these risks c) Safety culture, including worker participation, consultation, communication and training on occupational health and safety | Negative | Potential | Own operations | |||||||||||||||||||
Responsible supply chain and product stewardship | a) Social impacts (e.g. health and safety, working conditions, child labor, etc.) in the supply chain and actions taken b) Environmental impacts (e.g. pollution, water use, etc.) in the supply chain and actions taken c) Supplier ESG standards and screening d) Supplier ESG performance e) Impact on environmental and social aspects in the supply chain from product design and engineering | Negative | Potential | Upstream suppliers and partners |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 74 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Innovation ecosystem | a) Innovation partnerships b) Innovation pipeline c) In-kind support startups and scaleups d) EU public-private R&D innovation projects e) Knowledge management | Positive | Actual | Entire value chain | |||||||||||||||||||
Community engagement | a) Local community impacts, including housing, talent pipeline (region), mobility and infrastructure, social cohesion, neighbor (local) impact | Negative | Actual | Own operations | |||||||||||||||||||
b) Local community impacts, including economic growth, local tax contribution and job creation c) Philanthropy, including local community engagement and development programs | Positive | Actual | Own operations |
We believe that increasing digitalization opens the way to a society that is more environmentally and socially sustainable. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 75 | |||||||||||||||
Environmental at a glance | ||||||||||||||||||||
We are committed to reducing our environmental footprint both from our operations and the use of our products and services. |
What we do | ||||||||
We develop lithography technology that enables manufacturers to make more energy-efficient microchips. Reducing our environmental footprint and managing our waste – both from our operations and in the use of our products and services – is key to our ESG practices. | ||||||||
Our aims | ||||||||
As the world continues to increase its dependence on technology to solve some of its most pressing challenges, our role is to help make this happen by expanding the availability of the necessary computing power. Our ambition is to achieve carbon neutrality with net zero emissions in our operations (scope 1 and 2) by 2025. We aim to achieve net zero emissions in our supply chain (scope 3) by 2030, and net zero emissions from the use of our products by our customers (scope 3) by 2040. In addition, our goal is to have zero waste from operations to landfill or incineration by 2030. We focus on energy efficiency – not only in our business but also by addressing the amount of energy that semiconductors require in operation. We are also working hard to manage our own waste streams and improve the circularity of our value chain. Our actions are closely aligned to two SDGs in particular – SDG 13 (Energy efficiency and climate action) and SDG 12 (Circular economy). | ||||||||
Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||
SDG 13 Take urgent action to combat climate change and its impacts by regulating emissions and promoting developments in renewable energy | –Energy management and carbon footprint: Operations (Scope 1 and 2) –Energy management and carbon footprint: Supply chain, business travel and commuting (Scope 3) –Energy management and carbon footprint: Product use at our customers (Scope 3) | ||||||||||||||||
Circular economy | Read more on page 85 > | ||||||||||||||||
SDG 12 | –Reduce waste in our operations –Re-use parts and materials –Refurbish mature products –Water management | ||||||||||||||||
Ensure sustainable consumption and production patterns | |||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 76 | |||||||||||||||
Energy efficiency and climate action | ||||||||||||||||||||
We are committed to lowering our carbon footprint wherever we can to achieve net zero emissions across our operations and in our supply chain. As well as increasing the productivity of our products, we are also working toward reducing their absolute energy consumption. |
38.1 kt | 1.11 kt | |||||||||||||
Scope 1 and 2 CO2e emissions (2025 target: net zero) | Scope 3 CO2e emissions intensity (per €m gross profit) (2025 target: 1.02) | |||||||||||||
0.56 kt | 11.9 Mt | |||||||||||||
Net scope 3 CO2eemissions intensity (per €m revenue) | Scope 3 CO2e emissions (2040 target: net zero) | |||||||||||||
8.27 kWh | ||||||||||||||
NXE energy use per exposed wafer pass (NXE:3600D, measured in 2021) (2025 target: 5.1 kWh) |
Energy efficiency and climate action | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 13.1 | ||||||||||||||
Strengthen resilience and adaptive capacity to climate-related hazards and natural disasters in all countries | –Scope 1 and 2 CO2e emissions –Scope 3 CO2e emissions intensity (per €m gross profit) –Net scope 3 CO2eemissions intensity (per €m revenue) –Scope 3 CO2e emissions –NXE energy use per exposed wafer pass | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 77 | |||||||||||||||
Energy efficiency and climate action (continued) |
The following diagram illustrates our journey to net zero emissions in our value chain: |
Our journey to net zero emissions in our value chain | |||||||||||
Our goal is to achieve the following milestones in our journey toward net zero emissions in our value chain by 2040, for each of our impact areas: –2025: Net zero scope 1+2 emissions –2025: Net zero scope 3 emissions from business travel and commuting –2030: Collaborating with our suppliers, reduce net scope 3 upstream emissions to zero –2040: Collaborating with our customers and peers, reduce net scope 3 emissions from product use to zero Our approach to achieving net zero emissions is based on four pillars: 1.Analyzing energy use and greenhouse gas (GHG) emissions to learn about improvement options 2.Innovating in energy efficiency, and redesigning our assets, products and processes to minimize environmental impact | |||||||||||
3.Aiming to lead on the shift toward 100% credible, renewable energy | ||
4.Compensating residual emissions to achieve our targets if no reasonable other improvement actions are available | ||
We recognize that we cannot do any of this alone, which is why we collaborate closely with our employees, suppliers, customers, peers and society. We identify and assess the impact of climate-related risks and opportunities using the assessment guidelines of the Task Force on Climate-related Financial Disclosures (TCFD). | ||
Read more in: | ||
Our TCFD Recommendations: climate-related disclosure, available on www.asml.com. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 78 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Climate action | Net zero | Scope 1 – Direct emissions from fossil fuels in our operations (kton) | 15.4 | 19.3 | 17.3 | • | ||||||||||||||||||||||||||
Net zero | Scope 2 – Indirect emissions from energy consumption (kton) [market-based]2 | 0.0 | 20.1 | 20.8 | • | |||||||||||||||||||||||||||
Net zero (2040) | Scope 3 – Indirect emissions from total value chain (kton) | 8,800.0 | 11,400.0 | 11,900.0 | • | |||||||||||||||||||||||||||
Total footprint (in kton)1 | 8,815.4 | 11,439.4 | 11,938.1 | |||||||||||||||||||||||||||||
n/a | Scope 3 CO2e emissions intensity (per €m revenue) | 0.63 | 0.61 | 0.56 | n/a | |||||||||||||||||||||||||||
1.02 | Scope 3 CO2e emissions intensity (per €m gross profit) | 1.29 | 1.16 | 1.11 | • | |||||||||||||||||||||||||||
n/a | Reduction in GHG emissions from projects (kton) | n/a | n/a | 2.6 | n/a | |||||||||||||||||||||||||||
Energy efficiency | 5.1 | Products – NXE energy use per wafer (in kWh) | 9.64 (NXE:3400C) | 8.27 (NXE:3600D) | 8.27 (NXE:3600D) | • | ||||||||||||||||||||||||||
n/a | Products – NXT energy use per wafer (in kWh) | 0.45 (NXT:2050i) | 0.48 (NXT:1980Ei) | 0.46 NXT:2100i | n/a | |||||||||||||||||||||||||||
n/a | Energy consumption (in TJ) | 1,412 | 1,689 | 1,633 | n/a | |||||||||||||||||||||||||||
100 TJ | Energy savings worldwide through projects (in TJ)3 | 113.9 | 12.7 | 19.0 | • | |||||||||||||||||||||||||||
100% | Renewable electricity (of total electricity purchased) | 100 | % | 92 | % | 91 | % | • | ||||||||||||||||||||||||
(10)% | Energy consumption (NXE) (reduction in % of baseline 2018 1.4 MW) | (6)% (NXE:3400C) | (6)% (NXE:3600D) | (6)% (NXE:3600D) | • | |||||||||||||||||||||||||||
n/a | Throughput (in wph) (NXE) | 136 (NXE:3400C) | 160 (NXE:3600D) | 160 (NXE:3600D) | n/a | |||||||||||||||||||||||||||
(60)% | Energy use per exposed wafer pass (NXE) (reduction in % of baseline 2018) | (26)% (NXE:3400C) | (37)% (NXE:3600D) | (37)% (NXE:3600D) | • |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 79 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Operations (scope 1 and 2) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 80 | |||||||||||||||
Energy efficiency and climate action (continued) |
The table below includes six key projects that support the masterplan and will help to realize savings between 2021 and 2025: | ||||||||||||||||||||
Key projects | Location | Total estimated energy saving – annual (TJ) | Estimated natural gas reduction (TJ) | Estimated electricity reduction (TJ) | ||||||||||||||||
Energy grid | Veldhoven | 50 | 40 | 10 | ||||||||||||||||
Implement adiabatic humidification and elimination of steam generation | Veldhoven | 12 | 12 | 0 | ||||||||||||||||
Renewable energy generation (solar panels) | Veldhoven | 3 | 0 | 3 | ||||||||||||||||
Onsite renewable electricity generation (solar panels) | San Diego | 8 | 0 | 8 | ||||||||||||||||
Replacement of chillers | Wilton | 3 | 0 | 3 | ||||||||||||||||
HVAC energy consumption and improving (set points) | Taiwan | 3 | 0 | 3 | ||||||||||||||||
Total | 79 | 52 | 27 | |||||||||||||||||
Key projects | Total estimated energy saving - annual (in TJ) | Estimated scope 1 reduction: neutral gas (in TJ) | Estimated scope 2 reduction: electricity (in TJ) | ||||||||
Energy grid | 50 | -40 | -10 | ||||||||
Implement adiabatic humidification and elimination of steam generation | 12 | -12 | 0 | ||||||||
Air change reduction (feasibility study) | 20 | 0 | -20 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 81 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Supply chain, business travel and commuting (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 82 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Product use at our customers (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 83 | |||||||||||||||
Energy efficiency and climate action (continued) |
Platform1 | DUV immersion | |||||||||||||||||||||||||
System type | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980Ei | NXT:1960Bi + PEP-B | NXT:2100i | ||||||||||||||||||||
Year of energy measurement | 2015 | 2017 | 2020 | 2021 | 2021 | 2022 | ||||||||||||||||||||
Energy consumption (in MW) | 0.14 MW | 0.14 MW | 0.13 MW | 0.14 MW | 0.13 MW | 0.14 MW | ||||||||||||||||||||
Throughput (wph) | 275 | 275 | 295 | 295 | 250 | 295 | ||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 0.51 kWh | 0.51 kWh | 0.45 kWh | 0.48 kWh | 0.51 kWh | 0.46 kWh | ||||||||||||||||||||
Platform1 | DUV Dry | YieldStar | ||||||||||||||||||||||||||||||
System type | XT:860M | XT:1460 | NXT:1470 | XT:860N | NXT:870 | YS350E | YS375F | YS-380 | ||||||||||||||||||||||||
Year of energy measurement | 2017 | 2020 | 2020 | 2022 | 2022 | 2017 | 2019 | 2020 | ||||||||||||||||||||||||
Energy consumption (in MW) | 0.07 MW | 0.06 MW | 0.11 MW | 0.06 MW | 0.12 MW | 0.01 MW | 0.01 MW | 0.01 MW | ||||||||||||||||||||||||
Throughput (wph) | 240 | 209 | 277 | 260 | 330 | n/a | n/a | n/a | ||||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh)1 | 0.28 kWh | 0.27 kWh | 0.38 kWh | 0.24 kWh | 0.36 kWh | n/a | n/a | n/a | ||||||||||||||||||||||||
Platform1 | EUV 20 mJ/cm2 dose | EUV 30 mJ/cm2 dose | ||||||||||||||||||||||||
System type | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | ||||||||||||||||||||||
Year of energy measurement | 2015 | 2018 | 2020 | 2021 | ||||||||||||||||||||||
Energy consumption (in MW) | 1.15 MW | 1.40 MW | 1.31 MW | 1.32 MW | ||||||||||||||||||||||
Throughput (wph) | 59 | 107 | 136 | 160 | ||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 19.49 kWh | 13.08 kWh | 9.64 kWh | 8.27 kWh | ||||||||||||||||||||||
1.Dose energy in mJ refers to the energy required per expose per cm2. |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 84 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Advanced patterning with EUV helps to limit growth in energy and water use and GHG emissions |
Creating EUV light |
KPI | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
System energy efficiency NXE:3x00 1 | ||||||||||||||
System | - | NXE:3400C | NXE:3600D | |||||||||||
Energy consumption (reduction in % of baseline 2018) | - | -6 | % | -6 | % | Reduction 10% from baseline 2018 (1.40 MW) | ||||||||
Throughput (wph) | - | 136 | 160 | |||||||||||
Energy use per exposed wafer pass (reduction in % of baseline 2018) | - | -26 | % | -37 | % | Reduction 60% from baseline 2018 (13.1 kWh) | ||||||||
Wafers per year | - | 1,191,360 | 1,401,600 | |||||||||||
Renewable electricity (of total electricity purchased) | 97 | % | 100 | % | 92 | % | 100 | % | ||||||
Renewable energy attributes (in kton) | 137 | 140 | 145 | |||||||||||
Fossil fuels consumed (in TJ) by location2 | ||||||||||||||
Veldhoven | 159 | 141 | 184 | |||||||||||
Wilton | 111 | 112 | 127 | |||||||||||
Linkou | 0 | 0 | 0 | |||||||||||
San Diego | 46 | 40 | 43 | |||||||||||
San Jose | 0 | 0 | 5 | |||||||||||
Tainan | 0 | 0 | 0 | |||||||||||
Other | 0 | 0 | 8 | |||||||||||
Total | 316 | 293 | 367 | |||||||||||
CO2 footprint (in kt) - Gross 3 | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
Scope 1 - Direct emissions from fossil fuels in our operations | 16.9 | 15.4 | 19.3 | |||||||||||
Scope 2 - Indirect emissions from energy consumption | 141.4 | 139.8 | 165.1 | |||||||||||
Scope 3 - Indirect emissions from total value chain | 6,500.0 | 8,400.0 | 8,800.0 | |||||||||||
Total footprint (in kt) - Gross | 6,658.3 | 8,555.2 | 8,984.4 | |||||||||||
CO2 footprint (in kt) - Net 3 | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
Scope 1 - Direct emissions from fossil fuels in our operations | 16.9 | 15.4 | 19.3 | Net zero | ||||||||||
Scope 2 - Indirect emissions from energy consumption | 5.3 | 0 | 20.1 | Net zero | ||||||||||
Scope 3 - Indirect emissions from total value chain | 6,500.0 | 8,400.0 | 8,800.0 | Reduce intensity rate from baseline | ||||||||||
Total footprint (in kt) - Net | 6,522.2 | 8,415.4 | 8,839.4 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 85 | |||||||||||||||
Circular economy | ||||||||||||||||||||
Minimizing waste and maximizing resources to extract the greatest value from the materials we use, and repurposing our products across their life cycles |
315 kg | 75% | |||||||||||||
Waste generated per €m revenue (2025 target: 209 kg) | Recycling rate (excluding construction) (2025 target: 90%) | |||||||||||||
95% | €0.8bn | |||||||||||||
% of systems sold in the past 30 years still active in the field (2025 target: >95%) | Savings from re-used parts | |||||||||||||
87% | €232m | |||||||||||||
Re-use rate of parts returned from field and factory (2025 target 95%) | Value of scrapped parts and packaging | |||||||||||||
6,675 t | ||||||||||||||
Total waste from operations (excluding construction) |
IN THIS SECTION | |||||||||||
Our overall performance in 2022 | |||||||||||
Reduce waste in our operations | |||||||||||
Re-use parts and materials | |||||||||||
Refurbish mature products | |||||||||||
Water management |
€781 million | |||||
Savings from re-used parts | |||||
Circular economy | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 12.2 | ||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | –Recycling rate –Supplier spend covered with commitment to sustainability (LOI) | |||||||||||||
SDG target 12.5 | ||||||||||||||
By 2030, substantially reduce waste generation through prevention, reduction, recycling and re-use | –Reduction in waste –Increase in re-use of parts –Decrease in scrapped parts and packaging –Lifetime extension of systems still active in the field | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 86 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 87 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Circular economy | >95% | % of systems sold in the past 30 years still active in the field | n/a | 94 | % | 95 | % | • | ||||||||||||||||||||||||
95% | Re-use rate of parts returned from field and factory | n/a | 85 | % | 87 | % | • | |||||||||||||||||||||||||
No target | Savings from re-used parts (€, in millions)1,2 | 551 | 686 | 781 | n/a | |||||||||||||||||||||||||||
No target | Value of scrapped parts and packaging (€, in millions)2 | n/a | 269 | 232 | n/a | |||||||||||||||||||||||||||
209 kg/€m | Total waste from operations (excl. construction) normalized to revenue | 360 | 305 | 315 | • | |||||||||||||||||||||||||||
90% | Recycling rate (excl. construction) | 85 | % | 77 | % | 75 | % | n | ||||||||||||||||||||||||
No target | Total waste from operations (excl. construction)3 | 5,026 | 5,679 | 6,675 | n/a |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 88 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Reduce waste in our operations |
Non-hazardous waste recycling | 71 | % | |||||||||
Non-hazardous waste disposed | 24 | % | |||||||||
Hazardous waste recycling | 4 | % | |||||||||
Hazardous waste disposed | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 89 | |||||||||||||||
Circular economy (continued) |
95% | |||||
of our total waste in 2022 was non-hazardous waste |
Wood | 31 | % | |||||||||
General waste | 24 | % | |||||||||
Paper and cardboard | 13 | % | |||||||||
Electronics | 6 | % | |||||||||
Metals | 7 | % | |||||||||
Other non-hazardous waste | 5 | % | |||||||||
Plastic | 5 | % | |||||||||
Organic waste | 5 | % | |||||||||
Construction waste | 4 | % |
Hazardous liquids | 91 | % | |||||||||
Other hazardous waste (e.g. packaging, filters, lamps, etc.) | 6 | % | |||||||||
Cleaning wipes | 2 | % | |||||||||
Batteries | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 90 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 91 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Re-use parts and materials |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 92 | |||||||||||||||
Circular economy (continued) |
87% | ||
Re-use rate of defective parts in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 93 | |||||||||||||||
Circular economy (continued) |
4x | |||||
the value handled by our local repair centers in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 94 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Refurbish mature products |
95% of all systems sold in the past 30 years still active in the field | ||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 95 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Water management |
KPI | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
Total waste generated normalized to revenue (kg/Million €) 1 | 417 | 360 | 305 | -50% of 2019 baseline | ||||||||||
Material recycling (% of total waste) 1 | 80 | % | 85 | % | 77 | % | 85 | % | ||||||
ASML PAS5500 systems sold still in use (in %) 2 | 90 | % | 90 | % | 90 | % | n/a | |||||||
Value of parts re-used (€, in millions) | n/a | 1,151 | 1,236 |
ASML ANNUAL REPORT 2022 | SOCIAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 96 | |||||||||||||||
Social at a glance | ||||||||||||||||||||
We aim to have a positive role in society for our employees, the communities around us and everyone involved in our innovation ecosystem and supply chain. |
What we do | ||||||||||||||
As a multinational technology company, we impact many people’s lives, both directly and indirectly. We want to have a positive role in society – for our employees, our supply chain, everyone involved in our innovation ecosystem and the communities around us. | ||||||||||||||
Our aims | ||||||||||||||
We work closely with our stakeholders, collaborating to achieve the ambitions of our four focus areas. Our goal is to ensure that responsible growth benefits everyone. To maintain our fast pace of innovation and ensure our long-term success as a company, we need to attract and retain the best talent and provide the best possible employee experience. We aim to be a valued and trusted partner, improving the quality of life for all and supporting people in disadvantaged communities. Through our focus areas, we support five different SDGs in a range of ways. |
Attractive workplace for all | Read more on page 97 > | ||||||||||||||||
SDG | –Best employee experience –Enabling strong leadership –Ensuring employee safety | ||||||||||||||||
Our supply chain | |||||||||||||||||
SDG 8 and 12 | – | ||||||||||||||||
Innovation ecosystem | |||||||||||||||||
SDG | |||||||||||||||||
Build resilient infrastructure, promote inclusive and sustainable industrialization and foster innovation | |||||||||||||||||
Valued partner in our | Read more on page 124 > | ||||||||||||||||
SDG 4 and 11 | –Education | ||||||||||||||||
Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all/Make cities and human settlements inclusive, safe, resilient and sustainable |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 97 | |||||||||||||||
Attractive workplace for all | ||||||||||||||||||||
Empowering individuals for the collective good to ensure our employees are proud to work for us and engaged with our ambitions as a company. |
6.0% | 37,643 | |||||||||||||||||||
Attrition rate (2025 target: <7%) | Total employees (FTE)1 EMEA 21,267 Asia 8,871 US 7,505 | |||||||||||||||||||
78% | (-4%) | 24% | ||||||||||||||||||
Employee engagement score against benchmark (2025 target -2% vs. top 25% performing companies) | Gender diversity (% females’ inflow) (2024 target: 23%) | |||||||||||||||||||
143 | ||||||||||||||||||||
Nationalities | ||||||||||||||||||||
IN THIS SECTION | ||||||||||||||||||||
Our overall performance in 2022 | ||||||||||||||||||||
Inspiring a unified culture | ||||||||||||||||||||
Best employee experience | ||||||||||||||||||||
Enabling strong leadership | ||||||||||||||||||||
Ensuring employee safety |
Attractive workplace for all | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 4.3 | ||||||||||||||||||||
By 2030, ensure equal access for all women and men to affordable and quality technical, vocational and tertiary education, including university | –Employee training and development indicators | |||||||||||||||||||
SDG target 8.1 | ||||||||||||||||||||
Sustain per capita economic growth in accordance with national circumstances and, in particular, at least 7% gross domestic product growth per annum in the least developed countries | –Financial performance | |||||||||||||||||||
SDG target 8.2 | ||||||||||||||||||||
Achieve higher levels of economic productivity through diversification, technological upgrading and innovation, including through a focus on high value-added and labor-intensive sectors | –Employee engagement score | |||||||||||||||||||
SDG target 8.5 | ||||||||||||||||||||
By 2030, achieve full and productive employment and decent work for all women and men, including for young people and persons with disabilities, and equal pay for work of equal value | –Workforce data including diversity and inclusion –Fair remuneration pay ratio | |||||||||||||||||||
SDG target 8.6 | ||||||||||||||||||||
By 2020, substantially reduce the proportion of youth not in employment, education or training | –Employee attrition rate –New hires | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | –Employee safety indicators | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 98 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Attractive workplace for all | Be on par with benchmark target: 2% below benchmark of top 25% performing companies | Employee engagement score | 80 | % | 78 | % | 78 | % | • | |||||||||||||||||||||||
No target | Employee growth (new hires and rate) | 1,932 (8%) | 4,373 (15%) | 7,130 (21%) | n/a | |||||||||||||||||||||||||||
<7% | Attrition rate | 3.8 | 5.4 | 6.0 | • | |||||||||||||||||||||||||||
20% (in 2024) | Gender diversity – % females inflow job grade 13+ | n/a | 12% | 35% | • | |||||||||||||||||||||||||||
12% (in 2024) | Gender diversity – % females job grade 13+ | n/a | 8 | % | 10% | • | ||||||||||||||||||||||||||
NL top 10 Taiwan top 20 S Korea top 20 US top 75 China top 100 | Attractiveness to talent (employer brand score)1 | NL 10 Taiwan 22 S Korea 24 US3 177 China 168 | NL 6 Taiwan 6 S Korea2 14 US3 177 China 148 | NL 4 Taiwan 6 S Korea n/a US 159 China 188 | n | |||||||||||||||||||||||||||
0.16 (2022) | Recordable incident rate | 0.18 | 0.17 | 0.18 | n | |||||||||||||||||||||||||||
Target is relative to the score of the top 25% of performing companies by +/-3%) (2024) | Inclusion index | 73 | % | 83 | % | 85 | % | • | ||||||||||||||||||||||||
23% (in 2024) | Inflow % female | 23 | % | 21 | % | 24% | • | |||||||||||||||||||||||||
No target | Total employees | Total 26,481 Male 83% Female 17% Asia 6,057 EMEA 14,714 US 5,710 | Total 30,842 Male 82% Female 18% Asia 7,430 EMEA 17,230 US 6,182 | Total 37,643 Male 80% Female 19% Unknown 1% Asia 8,871 EMEA 21,267 US 7,505 | n/a | |||||||||||||||||||||||||||
No target | Number of nationalities | 120 | 122 | 143 | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 99 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Inspiring a unified culture |
Our Diversity and Inclusion Strategy | |||||||||||||||||
Our roadmap focuses on three key areas: | |||||||||||||||||
Talent | Leadership | ||||||||||||||||
Attract and retain employees by ensuring that they are valued, supported with feedback and can grow their careers | Enabling our leaders to demonstrate commitment, accountability and role-model behavior to advance inclusion within their teams | ||||||||||||||||
Culture | |||||||||||||||||
Cultivate and promote an inclusive culture that equips employees to challenge norms and increase collaboration |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 100 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
24% | |||||||||||
of our new hires were women in 2022 | |||||||||||
85% | |||||||||||
2022 inclusion score |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 101 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Best employee experience |
We want to offer our people the best possible employee experience at all our sites, enabling them to develop their talent, feel respected and work to the best of their abilities. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 102 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
We support our employees in maintaining a healthy, productive and balanced life. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 103 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
7,130 | ||
New payroll employees in 2022 (4,373 in 2021) | ||
21% | ||
Rate of new hires in 2022 (15% in 2021) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 104 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
1.The 2020 to 2022 FTEs in the chart above do not include the FTEs acquired through the acquisition of Berliner Glas (ASML Berlin GmbH). |
87% of new hires indicated that they had a positive onboarding experience in 2022, with good support from their managers. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 105 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Future ASML CLA | ||||||||
In the Netherlands, we continue to aim for dispensation from the Metalektro Collective Labor Agreement (CLA) in order to develop our own CLA. Our unique position in the global market, our size and growth as well as our very unique group of employees and the large range of competencies and activities we bring together to deliver our products have created a need for our own approach to labor conditions. The purpose of a future ASML CLA is to offer a set of labor conditions that match the diversity and needs of all our employees. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 106 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Enabling strong leadership |
As our company grows, so does the need for clarity around roles and expectations. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 107 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Ensuring employee safety |
KPI | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
Engagement score We@ASML survey | 77 | % | 80 | % | 78 | % | Be on par with peers | |||||||
Employer brand ranking1 | ||||||||||||||
Netherlands | 10 | 10 | 6 | Top 10 | ||||||||||
US | — | 99 | 133 | Top 75 | ||||||||||
China | — | 168 | 148 | Top 100 | ||||||||||
Taiwan | — | 22 | 6 | Top 20 | ||||||||||
South Korea2 | 19 | 24 | 14 | Top 20 |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 108 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 109 | |||||||||||||||
Our supply chain | ||||||||||||||||||||
Setting the bar higher for our world-class supplier network to achieve the innovations we strive for, by ensuring we conduct our business in a sustainable and responsible manner. |
€12.4bn | 5,000 | ||||||||||||||||
Total sourcing spend 39% Netherlands 41% EMEA (excl. NL) 13% North America 7% Asia | Total suppliers 1,600 Netherlands 750 EMEA (excl. NL) 1,300 North America 1,350 Asia | ||||||||||||||||
59% | |||||||||||||||||
% supplier spend covered by commitment to sustainability (LOI) (2025 target: 80%) | |||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Supplier performance and risk management | |||||||||||||||||
Responsible supply chain |
Our supply chain | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | –RBA self-assessment questionnaire completion –Suppliers with high risk on sustainability elements evaluated and follow-up agreed | |||||||||||||||||||
SDG target 12.2 | ||||||||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | –Supplier spend covered with commitment to sustainability (LOI) | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 110 | |||||||||||||||
Our supply chain (continued) |
ASML’s supply chain strategy is centered on long-term relationships and close cooperation with our suppliers and partners. | ||
We require our suppliers to: | |||||
1.Secure materials from their suppliers to enable the output ramp-up for customers 2.Enable our product roadmap through the development and maintenance of best-in-class competencies and capabilities to secure the most advanced technology and fast time-to-market 3.Drive cost reductions, quality and capability improvements through efficient and dedicated operations 4.Build a sufficiently broad customer base and scale to share and spread the risks of volatile market cycles and to increase flexibility and cost competitiveness 5.Make active contributions to our sustainability strategy |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 111 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Our supply chain | 80% | % supplier spend covered by commitment to sustainability (LOI) | n/a | n/a | 59 | % | • | |||||||||||||||||||||||||
90% | RBA self-assessment completed (in %) | 88 | % | 89 | % | 93 | % | • | ||||||||||||||||||||||||
100% | Suppliers with high risk on sustainability elements evaluated and follow-up agreed (in %) | — | % | 100 | % | 100 | % | • |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 112 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Supplier performance and risk management |
We conduct continuous performance and risk management of our supply base to assure and improve performance, and prevent reputational damage. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 113 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Responsible supply chain |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 114 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Our performance in 2022 | |||||||||||||||||||||||
Total supplier base | |||||||||||||||||||||||
12.4bn | |||||||||||||||||||||||
Total spend | |||||||||||||||||||||||
% of total spend | |||||||||||||||||||||||
800 | Product-related suppliers | 69 | % | ||||||||||||||||||||
4,200 | Non-product-related suppliers | 31 | % |
2025 LOI target is 80% | ||||||||||||||
In 2022, 59% of the total spend was covered with the LOI commitment to sustainability | ||||||||||||||
We apply due diligence screening to the total supplier base using the RBA Risk Assessment Platform. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 115 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
ASML suppliers |
5,000 | |||||
Suppliers | |||||
€12.4bn | |||||
Total spend |
Supplier base geographic split by percent spend | |||||||||||||||||||||||||||||
1,600 suppliers | 750 suppliers | 1300 suppliers | 1350 suppliers | ||||||||||||||||||||||||||
39 | % | 41 | % | 13 | % | 7 | % | ||||||||||||||||||||||
Netherlands | EMEA (excl. Netherlands) | North America | Asia |
Supplier Risk Profiles, created for business-critical, strategically important suppliers | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
216 suppliers represent 92% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
29 suppliers represent 23% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
* Major suppliers are those that account for 80% of PR spend and any business-critical NPR suppliers. |
The Responsible Business Alliance (RBA) self-assessment questionnaire completed by major suppliers* | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
44 suppliers represent 71% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
15 suppliers represent 26% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 116 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Number of high risks identified from RBA SAQ | |||||||||||||||||
Standard | RBA commitment | 2020 | 2021 | 2022 | Main findings 2022 | ||||||||||||
Labor | To uphold the human rights of all workers (direct and indirect), and to treat them with dignity and respect as understood by the international community, including the ILO's eight fundamental conventions | 1 | 0 | 0 | |||||||||||||
Health and safety | To minimize the incidence of work-related injury and illness and to ensure a safe and healthy working environment. Communication and education is essential to identifying and solving health and safety issues in the workplace | 0 | 0 | 1 | Finding related to a non-product-related supplier where the requirements do not entirely match the type of organization. | ||||||||||||
Environment | Environmental responsibility is integral to producing world-class products and services. Adverse effects on the community, environment and natural resources are to be minimized while safeguarding the health and safety of the public | 0 | 0 | 3 | Findings related to 1) a non-product-related company where the requirements do not entirely match the type of organization; 2) a supplier in the process of implementing a company-wide environmental program and supplier management and 3) a company with policies in place, however, no environmental program and supplier contractual requirements in place. | ||||||||||||
Ethics | To meet social responsibilities and to achieve success in the industry, the highest standards of ethics should be upheld, including but not limited to business integrity, anti-bribery and corruption, antitrust and competition, protecting privacy | 1 | 0 | 1 | Finding related to no separate conflict minerals policy and supplier program in place, but instead this supplier has a supplier code of conduct in place. | ||||||||||||
Members and participants are committed to establishing a management system to ensure: | |||||||||||||||||
–Compliance with applicable laws, regulations and customer requirements –Conformance with the Code standards –Identification and mitigation of operational risks –Facilitation of continuous improvement |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 117 | |||||||||||||||
Our supply chain (continued) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 118 | |||||||||||||||
Innovation ecosystem | ||||||||||||||||||||
We don’t innovate in isolation. We develop technology together with the help of our partners and our collaborative knowledge network. |
€3.3bn | 63% | ||||||||||||||||
R&D Investments (2025 target: >4bn) | R&D spend as % growth from 2019 base year (2025 target: >100%) | ||||||||||||||||
€14.7m | €1.0m | ||||||||||||||||
Contribution to EU research projects | Value startups and scaleups in-kind support | ||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Partnerships for research and development | |||||||||||||||||
Supporting startups and scaleups |
Innovation ecosystem | |||||||||||||||||
SDG target | How we measure our performance | ||||||||||||||||
SDG target 9.1 | |||||||||||||||||
Develop quality, reliable, sustainable and resilient infrastructure, including regional and transborder infrastructure, to support economic development and human well-being, with a focus on affordable and equitable access for all | –Supporting startups to Star level –Supporting scaleup projects –Collaboration in EU projects | ||||||||||||||||
SDG target 9.4 | |||||||||||||||||
By 2030, upgrade infrastructure and retrofit industries to make them sustainable, with increased resource-use efficiency and greater adoption of clean and environmentally sound technologies and industrial processes, with all countries taking action in accordance with their respective capabilities | –Collaboration with research partners –Energy efficiency of our products measured per wafer pass | ||||||||||||||||
SDG target 9.5 | |||||||||||||||||
Enhance scientific research, upgrade technological capabilities of industrial sectors in all countries, in particular developing countries. For developing countries, this includes, by 2030, encouraging innovation and increasing the number of research and development workers per one million people, as well as public and private research and development spending | –Investments in R&D | ||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 119 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Innovation ecosystem | >4bn euro | R&D Investments | €2.2bn | €2.5bn | €3.3bn | • | ||||||||||||||||||||||||||
>100% | R&D spend as % growth from 2019 base year | 10 | % | 25 | % | 63 | % | • | ||||||||||||||||||||||||
No target | Value startups and scaleups in-kind support | €0.6m | €1.0m | €1.0m | n/a | |||||||||||||||||||||||||||
No target | Startups and scaleups in-kind support hours | 1,550 | hrs | 2,100 | hrs | 4,180 | hrs | n/a | ||||||||||||||||||||||||
>20% | Startups reached Star level from total startups (in %) | 16 | % | 15 | % | 12 | % | n | ||||||||||||||||||||||||
14 | Number of scale-up companies supported (in numbers) | 7 | 7 | 10 | • | |||||||||||||||||||||||||||
No target | Contribution to EU research projects | €28.5m | €30.3m | €14.7m | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 120 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Partnerships for research and development |
€3.3 billion | ||
R&D investments in 2022 | ||
€14.7 million | ||
Contribution in R&D across public–private partnerships in 2022 |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 121 | |||||||||||||||
Innovation ecosystem (continued) |
Figure 1: ASML’s IPCEI proposal concerns the third step in the three-phase approach toward introduction of EUV 0.55 NA (High-NA) lithography. Phases 1 & 2 are already planned by ASML and imec. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 122 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Supporting startups and scaleups |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 114 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
KPI | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
R&D expenses (€, in billions) | 2.0 | 2.2 | 2.5 | n/a | ||||||||||
Number of R&D partner agencies | 144 | 130 | 121 | n/a | ||||||||||
Startups reached Star level from total startups supported (in %) | 17 | % | 16 | % | 15 | % | > 20% | |||||||
Number of scale up companies supported (in #) | 5 | 7 | 7 | 14 | ||||||||||
Start-ups and scaleups in-kind support hours | 1,300 | 1,550 | 2,100 | n/a |
Our performance in 2022 | |||||||||||||||||||||||
Total supplier base | |||||||||||||||||||||||
12.4bn | |||||||||||||||||||||||
Total spend | |||||||||||||||||||||||
% of total spend | |||||||||||||||||||||||
800 | Product-related suppliers | 69 | % | ||||||||||||||||||||
4,200 | Non-product-related suppliers | 31 | % |
2025 LOI target is 80% | ||||||||||||||
In 2022, 59% of the total spend was covered with the LOI commitment to sustainability | ||||||||||||||
We apply due diligence screening to the total supplier base using the RBA Risk Assessment Platform. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 115 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
ASML suppliers |
5,000 | |||||
Suppliers | |||||
€12.4bn | |||||
Total spend |
Supplier base geographic split by percent spend | |||||||||||||||||||||||||||||
1,600 suppliers | 750 suppliers | 1300 suppliers | 1350 suppliers | ||||||||||||||||||||||||||
39 | % | 41 | % | 13 | % | 7 | % | ||||||||||||||||||||||
Netherlands | EMEA (excl. Netherlands) | North America | Asia |
Supplier Risk Profiles, created for business-critical, strategically important suppliers | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
216 suppliers represent 92% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
29 suppliers represent 23% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
* Major suppliers are those that account for 80% of PR spend and any business-critical NPR suppliers. |
The Responsible Business Alliance (RBA) self-assessment questionnaire completed by major suppliers* | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
44 suppliers represent 71% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
15 suppliers represent 26% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 116 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Number of high risks identified from RBA SAQ | |||||||||||||||||
Standard | RBA commitment | 2020 | 2021 | 2022 | Main findings 2022 | ||||||||||||
Labor | To uphold the human rights of all workers (direct and indirect), and to treat them with dignity and respect as understood by the international community, including the ILO's eight fundamental conventions | 1 | 0 | 0 | |||||||||||||
Health and safety | To minimize the incidence of work-related injury and illness and to ensure a safe and healthy working environment. Communication and education is essential to identifying and solving health and safety issues in the workplace | 0 | 0 | 1 | Finding related to a non-product-related supplier where the requirements do not entirely match the type of organization. | ||||||||||||
Environment | Environmental responsibility is integral to producing world-class products and services. Adverse effects on the community, environment and natural resources are to be minimized while safeguarding the health and safety of the public | 0 | 0 | 3 | Findings related to 1) a non-product-related company where the requirements do not entirely match the type of organization; 2) a supplier in the process of implementing a company-wide environmental program and supplier management and 3) a company with policies in place, however, no environmental program and supplier contractual requirements in place. | ||||||||||||
Ethics | To meet social responsibilities and to achieve success in the industry, the highest standards of ethics should be upheld, including but not limited to business integrity, anti-bribery and corruption, antitrust and competition, protecting privacy | 1 | 0 | 1 | Finding related to no separate conflict minerals policy and supplier program in place, but instead this supplier has a supplier code of conduct in place. | ||||||||||||
Members and participants are committed to establishing a management system to ensure: | |||||||||||||||||
–Compliance with applicable laws, regulations and customer requirements –Conformance with the Code standards –Identification and mitigation of operational risks –Facilitation of continuous improvement |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 117 | |||||||||||||||
Our supply chain (continued) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 118 | |||||||||||||||
Innovation ecosystem | ||||||||||||||||||||
We don’t innovate in isolation. We develop technology together with the help of our partners and our collaborative knowledge network. |
€3.3bn | 63% | ||||||||||||||||
R&D Investments (2025 target: >4bn) | R&D spend as % growth from 2019 base year (2025 target: >100%) | ||||||||||||||||
€14.7m | €1.0m | ||||||||||||||||
Contribution to EU research projects | Value startups and scaleups in-kind support | ||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Partnerships for research and development | |||||||||||||||||
Supporting startups and scaleups |
Innovation ecosystem | |||||||||||||||||
SDG target | How we measure our performance | ||||||||||||||||
SDG target 9.1 | |||||||||||||||||
Develop quality, reliable, sustainable and resilient infrastructure, including regional and transborder infrastructure, to support economic development and human well-being, with a focus on affordable and equitable access for | |||||||||||||||||
SDG target 9.4 | |||||||||||||||||
By 2030, upgrade infrastructure and retrofit industries to make them sustainable, with increased resource-use efficiency and greater adoption of clean and environmentally sound technologies and industrial processes, with all countries taking action in accordance with their respective | |||||||||||||||||
SDG target 9.5 | |||||||||||||||||
Enhance scientific research, upgrade technological capabilities of industrial sectors in all countries, in particular developing countries. For developing countries, this includes, by 2030, encouraging innovation and increasing the number of research and development workers per one million people, as well as public and private research and development | |||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 119 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Innovation ecosystem | >4bn euro | R&D Investments | €2.2bn | €2.5bn | €3.3bn | • | ||||||||||||||||||||||||||
>100% | R&D spend as % growth from 2019 base year | 10 | % | 25 | % | 63 | % | • | ||||||||||||||||||||||||
No target | Value startups and scaleups in-kind support | €0.6m | €1.0m | €1.0m | n/a | |||||||||||||||||||||||||||
No target | Startups and scaleups in-kind support hours | 1,550 | hrs | 2,100 | hrs | 4,180 | hrs | n/a | ||||||||||||||||||||||||
>20% | Startups reached Star level from total startups (in %) | 16 | % | 15 | % | 12 | % | n | ||||||||||||||||||||||||
14 | Number of scale-up companies supported (in numbers) | 7 | 7 | 10 | • | |||||||||||||||||||||||||||
No target | Contribution to EU research projects | €28.5m | €30.3m | €14.7m | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 120 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Partnerships for research and development |
€3.3 billion | ||
R&D investments in 2022 | ||
€14.7 million | ||
Contribution in R&D across public–private partnerships in 2022 |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 121 | |||||||||||||||
Innovation ecosystem (continued) |
Figure 1: ASML’s IPCEI proposal concerns the third step in the three-phase approach toward introduction of EUV 0.55 NA (High-NA) lithography. Phases 1 & 2 are already planned by ASML and imec. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 122 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Supporting startups and scaleups |
Number of high risks identified from RBA SAQ | |||||||||||||||||
Standard | RBA Commitment | 2020 | 2021 | Main findings | |||||||||||||
Labor | To uphold the human rights of all workers (direct and indirect), and to treat them with dignity and respect as understood by the international community, including the International Labor Organization's (ILO) eight fundamental conventions. | 1 | 0 | •Own management system, but not third-party verified •No public reporting of labor metrics | |||||||||||||
Health and Safety | To minimizing the incidence of work-related injury and illness and to ensure a safe and healthy working environment. Communication and education is essential to identifying and solving health and safety issues in the workplace. | 0 | 0 | ||||||||||||||
Environment | Environmental responsibility is integral to producing world-class products and services. Adverse effects on the community, environment and natural resources are to be minimized while safeguarding the health and safety of the public. | 0 | 0 | ||||||||||||||
Ethics | To meet social responsibilities and to achieve success in the industry, the highest standards of ethics should be upheld, including but not limited to business integrity, anti-bribery and corruption, antitrust and competition, protecting privacy. | 1 | 0 | •Own management system, but not third-party verified •No public reporting of ethics-related metrics | |||||||||||||
Members and participants are committed to establishing a management system to ensure: | |||||||||||||||||
•compliance with applicable laws, regulations and customer requirements •conformance with the Code standards •identification and mitigation of operational risks •facilitation of continuous improvement. |
ASML risk management process provides direction for adequate risk and control measures for key risks.” | ||
Roel Verstegen | ||
Head of Enterprise Risk Management |
ASML risk universe | |||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||
–Industry cycle risk –Political risk –Climate change risk | –Business model risk –Merger and acquisition risk | –Competition risk –Innovation risk –Product stewardship risk | –Product roadmap execution risk –Intellectual property rights risk | ||||||||||||||||||||||||||
Finance and reporting | Partners | People | Operations | ||||||||||||||||||||||||||
–Business planning risk –Foreign exchange rate risk –Liquidity risk –Interest rate risk –Capital availability risk –Counterparty credit risk –Shareholder activism risk –Disclosure/external reporting risk | –Customer dependency risk –Product/service quality risk –Supplier strategy and performance risk –Supply chain disruption risk | –Knowledge management risk –Organizational effectiveness risk –Human resource risk | –Product industrialization risk –Process effectiveness and efficiency risk –Environment, health and safety risk –Continuity of own operation risk –Security risk –Information technology risk –Manufacturing and install risk | ||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||
–Contractual liability risk | –Violation of laws and regulations risk | –Violation of internal policies risk | |||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 54 | ||||||||||||||||||
How we manage risk (continued) |
Risk assessment | Risk response | |||||||||||||||||||||||||||||||||||||
Top-down risk assessment | Coordination and follow-up | |||||||||||||||||||||||||||||||||||||
Corporate Risk Committee/Risk owners/Emerging risks | Risk owners | |||||||||||||||||||||||||||||||||||||
Risk identification | Risk landscape | Risk appetite | ||||||||||||||||||||||||||||||||||||
Risk analysis | ||||||||||||||||||||||||||||||||||||||
Risk evaluation | Risk treatment | |||||||||||||||||||||||||||||||||||||
Bottom-up risk assessment | Execution | |||||||||||||||||||||||||||||||||||||
Country/Sector | Action owners | |||||||||||||||||||||||||||||||||||||
Risk type | Averse | Prudent | Moderate | High | Extensive | ||||||||||||||||||||||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Partners | |||||||||||||||||||||||||||||||||||||||||||||||||||||
People | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Operations | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Finance and reporting | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 55 | ||||||||||||||||||
How we manage risk (continued) |
Strategy | Risk categories | Risk developments | Risk responses | ||||||||
Continue innovating at pace to maintain technology leadership | Innovation Product roadmap execution IP rights Supplier strategy and performance Human resource Knowledge management Security Competition | Intellectual Property (IP) technology leadership pressure | –Intellectual property portfolio management –Patents and relevant technical publications monitoring –Extensive investments in security program –Awareness and training programs –Cyber Defense Center | ||||||||
–There is significant pressure on know-how and IP protection for ASML and its open innovation partners. ASML’s existence is based on people and knowledge. Unauthorized disclosure of information of ASML, its customers or suppliers may benefit competitors, negatively affect ASML’s ability to file patents or affect cooperation with customers and suppliers. –We experience cyberattacks and other security incidents on our information technology systems, and our suppliers, customers and other service providers also experience such cyberattacks. | |||||||||||
Advanced lithography solutions | Product industrialization Manufacturing and install Continuity of own operations Supplier strategy and performance Supply chain disruption Human resource Product and service quality Process effectiveness and efficiency Violations of laws and regulations Business model Competition Political Industry cycle | Growth challenges | –Increase of manufacturing capabilities, utilization rate and cycle-time reduction –Fast shipments –Support suppliers to increase move rate and mitigate material shortages –Deployment of onboarding and well-being programs –Shorten time to knowledge (learning operating model) | ||||||||
–There is an increasing demand across all market segments and our product portfolio, which is an opportunity for us that also brings challenges. We face challenges to increase production capacity in our end-to-end supply chain to meet this demand. This is amplified by supply chain constraints. –Hiring, onboarding and retaining the workforce in the current competitive market is increasingly challenging. Consistent pressure on our organization and people as a result of our growth may lead to well-being issues among our employees. –The high demand we are continuing to experience could change customers’ sourcing strategies to become less dependent on ASML. | |||||||||||
Geopolitical tensions | –Actively engage with governmental authorities about effectiveness, consequences and enforceability of regulations –Collaborate with peers in global advocacy –Scenario planning around potential geopolitical events –Apply for export licenses as required –Comply with applicable (existing and new) regulations –Optimization of supply chain footprint | ||||||||||
–Geopolitical tensions are rising and additional export control restrictions have been imposed during 2022. The risk of further restrictions on exports or investments is high, and as a consequence global trade is shifting from globalization to regionalization as China, US and many other countries strive for technological sovereignty. In particular, the tensions between China and the US may lead to a decoupled ecosystem and – in the longer term – overcapacity. Given the important role both countries play in the semiconductor supply chain, this can have a significant impact on our industry. Trade and export barriers have already impacted our ability to sell to and service systems for certain customers, and this is likely to continue to impact our business going forward. –Changes in relations between Taiwan and the People’s Republic of China could lead to additional trade restrictions and could impact our employees and the ability to utilize our manufacturing facilities and supply chain in Taiwan for our global customers, as well as our ability to service our customers in Taiwan. | |||||||||||
Weakening global economy | –Control costs and maintain flexibility –Scenario planning around macroeconomic trends | ||||||||||
–Macroeconomic downturn fears are increasing, fueled by high inflation rates that are amplified by the energy crisis. Economic uncertainty has led to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders. A recession might also bring opportunities in the tight labor market. | |||||||||||
Drive a more sustainable world | Product stewardship EHS Climate change Human resource Violation of laws and regulations Continuity of own operations Supply chain disruption | Strengthening ESG regulations and increasing stakeholder expectations | –Stakeholder engagement and disclosures –Deployment of ESG strategy in our organization and value chain –Non-financial reporting in accordance with the Global Reporting Initiative (GRI) Universal Standards 2021 –Deployment of business continuity plans –Include extreme weather aspects in building upgrades and new designs –Comply with (existing and new) regulations | ||||||||
–Companies across all industries are facing increasing scrutiny relating to their ESG policies. Our stakeholders are increasingly focused on our contribution to society and expect us to minimize the environmental and social impact of our products throughout all life-cycle stages. A global trend to transition to a lower carbon economy has resulted in the imposition of increased regulations and disclosure requirements. Failure to achieve our ESG objectives and meet the emerging ESG expectations of our stakeholders could negatively affect our brand and reputation. | |||||||||||
Climate change fueling extreme weather | |||||||||||
–Climate change contributes to increasing severity and frequency of extreme weather events (such as cyclones and flood, fire stress, drought, heat and precipitation stress, rising sea levels) that can impact continuity of our operations and/or our supply chain. |
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 56 | ||||||||||||||||||
Risk factors | |||||||||||||||||||||||
We face many risks that have the potential to impact our business. It is important to understand the nature of these. We assess our risks by using the ASML risk universe, which comprises six risk types (Strategy and products, Finance and reporting, Partners, People, Operations, Legal and compliance). |
1. Strategy and products | ||||||||||||||||||||
Our future success depends on our ability to respond timely to commercial and technological developments in the semiconductor industry | ||||||||||||||||||||
Risk category: | Business model, Innovation | |||||||||||||||||||
Our success in developing new technologies, products and services, and in enhancing our existing products and services, depends on a variety of factors. These include the success of our and our suppliers’ R&D programs and the timely and successful completion of product development and design relative to competitors, or more costly. Our business will suffer if the technologies we pursue to assist our customers in producing smaller and more energy-efficient chips are not as effective as those developed by competitors. Our business will also suffer if our customers do not adopt technologies that we develop, or adopt new technological architectures that are less focused on lithography products. The success of our EUV 0.55 NA (High-NA) technology, which we believe is critical for keeping pace with Moore’s Law, remains dependent on continuing technical advances by us and our suppliers. We invest considerable financial resources to develop and introduce new and enhanced technologies, products and service offerings. If we are unsuccessful in developing (or if our customers do not adopt) these technologies, products and service offerings such as EUV 0.55 NA and multibeam inspection, or if alternative technologies or processes are successfully introduced by others, our competitive position and business may suffer. | In addition, we make significant investments in developing new products and product enhancements, and we may be unable to recoup some or all of these investments. We may incur impairment charges on capitalized technology including prototypes or incur costs related to inventory obsolescence, as a result of technological changes. Such costs may increase as the complexity of technology increases. Due to the highly complex nature and costs of our systems, including newer technologies, our customers may purchase existing technology systems rather than new leading-edge systems, or may delay their investment in new technology systems to the extent that such investment is not economical or required, given their product cycles. Global economic conditions affect our customers’ investment decisions, leading to uncertainties on the timing around the introduction of and demand for new leading-edge systems. Some of our customers have experienced and may continue to experience delays in implementing their product roadmaps. This increases the risk of slowing down the overall transition period (or cadence) for the introduction of new nodes, and therefore new systems. We also depend on our suppliers to maintain their development roadmaps to enable us to introduce new technologies on a timely basis. If they are unable to keep pace, whether due to technological factors, lack of financial resources or otherwise, this could prevent us from meeting our development roadmaps. | |||||||||||||||||||
The success of new product introductions is uncertain and depends on our ability to successfully execute our R&D programs | ||||||||||||||
Risk category: | Product roadmap execution, Innovation | |||||||||||||
As our lithography systems and applications have become increasingly complex, the costs and time periods to develop new products and technologies have increased. We expect such costs and time periods to continue to increase. In particular, developing new technology, such as EUV 0.55 NA (High-NA) and multibeam, requires significant R&D investments by us and our suppliers to meet our and our customers’ technology demands. Our suppliers may not be able or willing to invest the resources necessary to continue the (co-)development of the new technologies to the extent that such investments are necessary. This may result in ASML contributing funds to such R&D programs or limiting the R&D investments that we can undertake. Furthermore, if our R&D programs are not successful in developing the desired new technology on time or at all, we may be unsuccessful in introducing new products and unable to recoup our R&D investments. In light of the high levels of customer demand, we may prioritize our resources toward increasing production over R&D programs. | ||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 57 | ||||||||||||||||||
Risk factors (continued) |
We face intense competition | The semiconductor industry can be cyclical and we may be adversely affected by any downturn | We derive most of our revenues from the sale of a relatively small number of products | |||||||||||||||||||||||||||||||||||||||||||||
Risk category: | Competition | Risk category: | Industry cycle risk | Risk category: | Business model | ||||||||||||||||||||||||||||||||||||||||||
The semiconductor equipment industry is highly competitive. Our competitiveness depends upon our ability to develop new and enhanced lithography equipment, related applications and services that bring value to our customers and are competitively priced and introduced on a timely basis – as well as our ability to protect and defend our intellectual property, trade secrets or other proprietary information. We compete primarily with Canon and Nikon in respect of DUV systems. Both Canon and Nikon have substantial financial resources and broad patent portfolios. Each continues to offer products that compete directly with our DUV systems, which may impact our sales or business. In addition, adverse market conditions, long-term overcapacity or a decrease in the value of the Japanese yen in relation to the euro could further intensify price-based competition, resulting in lower prices and lower sales and margins. We also face competition from new competitors with substantial financial resources, as well as from competitors driven by the ambition of self-sufficiency in the geopolitical context. Furthermore, we face competition from alternative technological solutions or semiconductor manufacturing processes, particularly if we are unsuccessful in developing new EUV technology, products and product enhancements in a timely and cost-competitive manner. We also compete with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation. These applications effectively compete with our Applications offering, which is a significant part of our business. | The semiconductor industry has historically been cyclical. As a supplier to the global semiconductor industry, we are subject to the industry’s business cycles, and the timing, duration and volatility are difficult to predict and can have a significant impact on semiconductor manufacturers and therefore ASML. Newer entrants to the industry, including Chinese semiconductor manufacturers, could increase the risk of cyclicality in the future. Certain key end-market customers – Memory and Logic – exhibit different levels of cyclicality and different business cycles. Sales of our lithography systems, services and other holistic lithography products depend in large part upon the level of capital expenditures by semiconductor manufacturers. These in turn are influenced by industry cycles, the drive for technological sovereignty and a range of competitive and market factors, including semiconductor industry conditions and prospects. The timing and magnitude of capital expenditures of our customers also impact the available production capacity of the industry to produce chips, which can lead to imbalances in the supply and demand of chips. Reductions or delays in capital expenditures by our customers, or incorrect assumptions by us about our customers’ capital expenditures, could adversely impact our business. In addition, industry trends that are currently positively impacting our business, such as increasing capital expenditures by our customers, may not continue. | Our ability to maintain profitability in an industry downturn will depend substantially on whether we are able to lower our costs to break-even level. If sales decrease significantly as a result of an industry downturn and we are unable to adjust our costs over the same period, and if down payments need to be returned, our net income may decline significantly or we may suffer losses. As we have significantly increased our organization in terms of employees, infrastructure, manufacturing capacity and other areas, we may not be able to adjust our costs in the event of an industry downturn. In addition, we are facing a weakening of the global economy. Economic uncertainty frequently leads to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders. The tightening of credit markets, rising interest rates and concerns regarding the availability of credit could make it more difficult for our customers to raise capital, whether debt or equity, to finance their purchases of equipment, including the products we sell. Reduced demand, combined with delays in our customers’ ability to obtain financing (or the unavailability of such financing) may adversely affect our product sales and revenues and therefore may harm our business and operating results. If we are unable to timely and appropriately adapt to changes resulting from difficult macroeconomic conditions, our business, financial condition or results of operations may be materially and adversely affected. | We derive most of our revenues from the sale of a relatively small number of lithography systems (345 units in 2022 and 309 units in 2021). As a result, the timing of shipments, including any delays, and recognition of system sales for a particular reporting period from a small number of systems, with an increase in sales prices, may have a material adverse effect on our business, financial condition and results of operations in that period. In addition, we may not be able to increase installed base revenues to the extent we planned, as, for example, customers may perform more of these services themselves or find other third-party suppliers to provide them. | ||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 58 | ||||||||||||||||||
Risk factors (continued) |
Failure to adequately protect intellectual property, trade secrets or other proprietary information could harm our business | Defending against intellectual property claims brought by others could harm our business | |||||||||||||||||||||||||||||||||||||
Risk category: | Intellectual property rights | Risk category: | Intellectual property rights | |||||||||||||||||||||||||||||||||||
We rely on intellectual property (IP) rights such as patents and copyrights to protect our proprietary technology. However, we face the risk that such protective measures could prove to be inadequate, and we could suffer material harm because, among other matters: | In addition, legal proceedings may be necessary to enforce our IP rights and the validity and scope may be challenged by others. Any such proceedings may result in substantial costs and diversion of management resources, and, if unfavorable decisions are made, could result in significant costs or have a significant impact on our business. We have experienced and may in the future experience misappropriation attacks by third parties or our employees, including theft of intellectual property, trade secrets, or other proprietary or confidential information. For example, we have experienced unauthorized misappropriation of data relating to proprietary technology, as described under “Risk Factors – Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations”. As a result of such incidents, third parties or others have or may, without authorization, obtain, copy, use or disclose our intellectual property, trade secrets or other proprietary information despite our efforts to protect them. | In the course of our business, we have been in the past and are subject to claims by third parties alleging that our products or processes infringe upon their IP. If successful, such claims could limit or prohibit us from developing our technology, manufacturing and selling our products. In addition, our customers or suppliers may be subject to claims of infringement from third parties, including patent holder companies, alleging that our products used by such customers in the manufacturing of semiconductor products and/or the processes relating to the use of our products infringe on one or more patents issued to such third parties. If such claims are successful, we could be required to indemnify our customers for some or all of any losses incurred or damages assessed against them as a result of such infringement. | We also may incur substantial licensing or settlement costs to settle claims or to potentially strengthen or expand our intellectual property rights or limit our exposure to intellectual property claims of third parties. Patent litigation is complex and may extend for a protracted period of time, giving rise to the potential for both substantial costs and diverting the attention of key management and technical personnel. Potential adverse outcomes from patent litigation may include payment of significant monetary damages, injunctive relief prohibiting our manufacturing, exporting or selling of products, reputational damage and/or settlement involving significant costs to be paid by us. | |||||||||||||||||||||||||||||||||||
–IP laws may not sufficiently support our proprietary rights or may change adversely in the future; –Our agreements (e.g. confidentiality, licensing) with our customers, employees and technology development partners and others to protect our IP may not be sufficient or may be breached or terminated; –Patent rights may not be granted or interpreted as we expect; –Patent rights will expire, which may result in key technology becoming widely available that may harm our competitive position; –The steps we take to prevent misappropriation or infringement of our proprietary rights may not be successful; –IP rights and trade secrets are difficult to enforce in countries where the application and enforcement of the laws governing such rights may not have reached the same level compared with other jurisdictions where we operate; and –Third parties may be able to develop or obtain patents for our or similar competing technology. | ||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 59 | ||||||||||||||||||
Risk factors (continued) |
We are exposed to economic, geopolitical and other developments in our international operations | We may be unable to make desirable acquisitions or to integrate successfully any businesses we acquire | |||||||||||||||||||||||||||||||||||||
Risk category: | Political | Risk category: | Mergers & acquisitions | |||||||||||||||||||||||||||||||||||
Global trade issues and changes in and uncertainties with respect to multilateral and bilateral treaties and trade policies, and international trade disputes, trade sanctions, export controls, tariffs and similar regulations, impact our ability to deliver our systems, technology and services internationally. In particular, our ability to deliver technology in certain countries such as China has been and continues to be impacted by our ability to obtain required licenses and approvals. Our business involves the sale of systems and services to customers in a number of countries, including China, where our business has grown in recent years, and includes technologies that may be the subject of increased export regulations or policies. The US government has enacted trade measures, including national security regulations and restrictions on conducting business with certain Chinese entities, restricting our ability to provide certain products and services to such entities without a license. The list of Chinese entities impacted by trade restrictions, as well as the export regulation requirements and the implementation and enforcement of such regulations, has increased with the addition of certain entities to the Entity List, and more recently by the Additional Export Controls on Semiconductor Manufacturing Items imposing license requirements on US-origin parts and US persons destined toward fabs in China working on advanced technology nodes. The list of restricted customers is subject to change. | These and further developments in multilateral and bilateral treaties, national regulation, and trade, national security and investment policies and practices have affected and may further affect our business, and the businesses of our suppliers and customers. Such developments have impacted and continue to impact our ability to obtain necessary licenses (among others from the Dutch government), including authorizations for use of US technology and for employees producing and developing such technology. Such developments, including the drive for technological sovereignty, could also lead to long-term changes in global trade, competition and technology supply chains, which could adversely affect our business and growth prospects. Certain of our manufacturing facilities as well as our supply chain and customers are located in Taiwan. Customers in Taiwan represented 38.2% of our 2022 total net sales and 39.4% of our 2021 total net sales. Taiwan has a unique international political status. Changes in relations between Taiwan and the People’s Republic of China, Taiwanese government policies and other factors affecting Taiwan’s political, economic or social environment could, for example, impact our ability to service our customers in Taiwan, which could have a material adverse effect on our business, financial condition and results of operations. Furthermore, certain of our facilities as well as customers are located in South Korea. Customers in South Korea represented 28.6% of our 2022 total net sales and 33.4% of our 2021 total net sales. In addition, there are tensions with the Democratic People’s Republic of Korea (North Korea) which have existed since the division of the Korean Peninsula following World War II. A worsening of relations between those countries or the outbreak of war on the Korean Peninsula could have a material adverse effect on our business, financial condition or results of operations. | From time to time, we may acquire, or seek to acquire, businesses or technologies to complement, enhance or expand our current business or products or that might otherwise offer us growth opportunities. Any such acquisitions could lead to failure to achieve our financial or strategic objectives or our ability to perform as we plan or disrupt our ongoing business and adversely impact our results of operations. Furthermore, our ability to complete such transactions may be hindered by a number of factors, including potential difficulties in obtaining government approvals. Any acquisition that we make could pose risks related to the integration of the new business or technology with our business and organization. We cannot be certain that we will be able to achieve the benefits we expect from a particular acquisition investment. Such transactions may also strain our managerial and operational resources, as the challenge of managing new operations may divert our management from day-to-day operations. Furthermore, we may be unable to retain key personnel from acquired businesses or we may have difficulty integrating employees, business systems and technology. The controls, processes and procedures of acquired businesses may also not adequately ensure compliance with laws and regulations, and we may fail to identify compliance issues or liabilities. | In connection with acquisitions, antitrust and national security regulators have in the past and may in the future impose conditions on us, including requirements to divest assets or other conditions that could make it difficult for us to integrate the businesses that we acquire. Furthermore, we may have difficulty in obtaining or be unable to obtain antitrust and national-security clearances, which could inhibit future desired acquisitions. As a result of acquisitions, we have recorded a significant amount of goodwill and intangible assets. Accounting standards require periodic review of these assets for indicators of impairment. If one or more indicators of impairment are found to exist, then valuation of the related asset could change and may incur impairment charges. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 60 | ||||||||||||||||||
Risk factors (continued) |
We may not be able to achieve our Environmental, Social and Governance (ESG) objectives or adapt and respond timely to emerging ESG expectations and regulations | |||||||||||||||||||||||||||||
Risk category: | Climate change, Product stewardship | ||||||||||||||||||||||||||||
Companies across all industries are facing increasing scrutiny relating to their ESG policies. Investors, capital providers, shareholder advocacy groups, other market participants, customers and other stakeholders are increasingly focused on ESG practices and, in recent years, have placed increasing importance on the implications and social cost of their investments. In particular, within the semiconductor industry, there is a focus on contribution to society and minimizing environmental and social impacts of products throughout all life-cycle stages. Failure to achieve our ESG objectives, meet the emerging ESG expectations of our stakeholders and/or timely respond to enhanced regulations and disclosure obligations could negatively affect our brand and reputation, which may impede our ability to compete as effectively to recruit or retain employees, which may adversely affect our operations. | Climate change contributes to increasing severity and frequency of extreme weather events, rising sea levels and droughts that can impact continuity of our operations and/or our supply chain. Climate change concerns and the potential environmental impact of climate change have resulted in and may result in new laws and regulations that may affect us, our suppliers and our customers. Such laws or regulations could cause us to incur additional direct costs for compliance, as well as increased indirect costs resulting from our value chain. Furthermore, the ability to improve our product-related environmental performance (such as energy efficiency) may be affected by the complexity of our technology and products. In order to meet our ESG goals and requirements in this regard, we are dependent on our suppliers and their ability to reduce their ecological footprints. In addition, we are dependent on our customers and/or our customers may not be satisfied with our progress, which can impact demand. | A global trend to transition to a lower-carbon economy has resulted in the imposition of increased regulations that could lead to technology restrictions, modification of product designs, an increase in energy prices and energy or carbon taxes, restrictions on pollution, required remediation measures or other requirements that could impact our business and increase our costs. A variety of regulatory developments have been introduced that focus on restricting or managing the emission of carbon dioxide and other greenhouse gases. This could result in a need to redesign products and/or purchase at higher costs new equipment or materials with lower carbon footprints. We publish disclosures on ESG matters relating to our business and our partners in compliance with applicable regulations and guidance and other data which may not be required but which we nonetheless elect to disclose. | Such disclosure includes statements based on our expectations and assumptions, involving forecasts about costs and future circumstances, which may prove to be incorrect. In addition, our ESG Sustainability strategy may not have the intended results, and our estimates concerning the timing and cost of implementing and ability to meet stated goals are subject to risks and uncertainties, which could result in us not meeting our goals on expected timing or at all or within expected costs. In addition, ESG disclosure requirements are increasing and authorities have proposed disclosure requirements on ESG matters which differ from the requirements that we are currently subject to, so we face risks in compliance with such regulations, including the risk of complying with requirements in different jurisdictions, costs associated with such compliance and potential liability in the event that our ESG disclosures prove incorrect. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 61 | ||||||||||||||||||
Risk factors (continued) |
2. Finance and reporting | |||||||||||||||||||||||||||||
We are exposed to financial risks, including liquidity risk, interest rate risk, credit risk, foreign exchange risk and inflation | |||||||||||||||||||||||||||||
Risk category: | Liquidity, Interest rate, Counterparty credit, Foreign exchange | ||||||||||||||||||||||||||||
We are a global company and are exposed to a variety of financial risks, including those related to liquidity, interest rate, credit, foreign exchange and inflation. Liquidity risk Negative developments in our business or global capital markets could affect our ability to meet our financial obligations or to raise or refinance debt in the capital or loan markets. In addition, we might be unable to repatriate cash from a country when needed for use elsewhere due to legal restrictions or required formalities. Interest rate risk Our Eurobonds bear interest at fixed rates. Our cash and investments as well as our revolving credit facility | bear interest at a floating rate. Failure to effectively hedge this risk could impact our financial condition and results of operation. In addition, we could experience an increase in borrowing costs due to a ratings downgrade (or the expectation of a downgrade), developments in capital and lending markets or developments in our businesses. | finance receivables at December 31, 2022, compared with €3,855.2 million, or 83.7%, at December 31, 2021. Accordingly, business failure or insolvency of one of our main customers could result in significant credit losses. | to the euro. We incur costs of sales predominantly in euros, with portions also denominated in US and Taiwanese dollars. A small portion of our operating results are driven by movements in currencies other than the euro, US dollar, Japanese yen, South Korean won, Taiwanese dollar or Chinese yuan. Inflation risk We are exposed to increases in costs due to inflation for costs of goods, transportation and wages, which may impact our profitability. We are currently experiencing higher-than-normal inflation, which impacts our costs and margins to the extent we are not able to pass on increased costs in our prices. | ||||||||||||||||||||||||||
Currency risk | |||||||||||||||||||||||||||||
Our Financial Statements are expressed in euros. Accordingly, our results of operations are exposed to fluctuations in exchange rates between the euro and other currencies. Changes in currency exchange rates can result in losses in our Financial Statements. We are particularly exposed to fluctuations in the exchange rates between the US dollar and the euro, and to a lesser extent to the Japanese yen, the South Korean won, the Taiwanese dollar and the Chinese yuan, in relation | |||||||||||||||||||||||||||||
Counterparty credit risk | |||||||||||||||||||||||||||||
We are exposed to credit risk in particular with respect to financial counterparties with whom we hold our cash and investments as well as our customers. As a result of our limited number of customers, credit risk on our receivables is concentrated. Our three largest customers (based on total net sales) accounted for €5,252.8 million, or 78.6%, of accounts receivable and | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 62 | ||||||||||||||||||
Risk factors (continued) |
3. Partners | |||||||||||||||||||||||||||||
Our success is highly dependent on the performance of a limited number of critical suppliers of single-source key components | |||||||||||||||||||||||||||||
Risk category: | Supply chain disruption, Supplier strategy and performance | ||||||||||||||||||||||||||||
We rely on outside vendors for components and subassemblies used in our systems, including the design thereof. These components and subassemblies are obtained from a single supplier or a limited number of suppliers. As our business has grown, our dependence on single suppliers or a limited number of suppliers has grown, because the highly specialized nature of many of our components, particularly for EUV including 0.55 NA systems, means it is not economical to source from more than one supplier. Our sourcing strategy therefore (in many cases) prescribes ‘single sourcing, dual competence’. Our reliance on a limited group of suppliers involves several risks, including a potential inability to obtain an adequate supply of required components or subassemblies in time and at acceptable costs, and reduced control over pricing and quality. Delays in supply of these components and subassemblies, which could occur for a variety of reasons, such as disruptions experienced by our suppliers, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockades, sabotage or other disasters, natural and otherwise, can lead to delays in delivery of our products which could impact our business. For example, certain of our suppliers experienced disruptions in their operations | as a result of chip and material shortages. A prolonged inability to obtain adequate deliveries of components or subassemblies, or any other circumstance that requires us to seek alternative sources of supply, could significantly hinder our ability to deliver our products in a timely manner, which could damage relationships with our customers and materially impact our business. The number of lithography systems we are able to produce may be limited by the production capacity of one of our key suppliers, Carl Zeiss SMT GmbH, which is our sole supplier of lenses, mirrors, illuminators, collectors and other critical optical components (which we refer to as optics). We have an exclusive arrangement with Carl Zeiss SMT GmbH, and if they are unable to maintain and increase production levels, we could be unable to fulfill orders, which could have a material impact on our business and damage relationships with our customers. If Carl Zeiss SMT GmbH were to terminate its supply relationship with us or be unable to maintain production of optics over a prolonged period, we would effectively cease to be able to conduct our business. | From time to time, we experience supply constraints which can impact our production, particularly during periods of high levels of demand such as those we have experienced in 2022 and continue to experience. In 2022, we were impacted by delays and shortages in our supply chain, resulting in a late start on the assembly of a number of systems. In addition, due to high demand, we reduced cycle time in our factory to ship more systems. We have achieved this through a fast shipment process that skips some of the testing in our factory. Final testing and formal acceptance then takes place at the customer site. This provides our customers with earlier access to wafer output capacity but also leads to a delay of revenue recognition for those shipments until formal customer acceptance. We and our suppliers are investing in additional capacity to meet the demand. However, increasing capacity takes time, and we may be unable to meet the full demand of our customers for a few years. Further, we face the risk that demand may not continue to increase, which could result in overcapacity and loss of investment in increasing capacity. | In addition, most of our key suppliers, including Carl Zeiss SMT GmbH, have a limited number of manufacturing facilities, the disruption of which may significantly and adversely affect our production capacity. Lead times in obtaining components have increased as our products have become more complex. A failure by us to adequately predict demand for our systems or any delays in the shipment of components can result in insufficient supply of components, which can lead to delays in delivery of our systems and can limit our ability to react quickly to changing market conditions. Conversely, a failure to predict demand could lead to excess and obsolete inventory. We are also dependent on suppliers to develop new models and products and to meet our development roadmaps. If our suppliers do not meet our requirements or timetable in product development, our business could suffer. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 63 | ||||||||||||||||||
Risk factors (continued) |
4. People | ||||||||||||||||||||||||||||||||||||||
A high percentage of net sales is derived from a few customers | Our business and future success depend on our ability to manage the growth of our organization and attract and retain a sufficient number of adequately educated and skilled employees | |||||||||||||||||||||||||||||||||||||
Risk category: | Customer dependency | Risk category: | Human resources, Knowledge management, Organizational effectiveness | |||||||||||||||||||||||||||||||||||
Historically, we have sold a substantial number of lithography systems to a limited number of customers. Customer concentration can increase because of continuing consolidation in the semiconductor manufacturing industry. In addition, although the applications part of our holistic lithography solutions constitutes an increasing portion of our revenue, a significant portion of those customers are the same customers as those for our systems. Consequently, while the identity of our largest customers may vary from year to year, sales may remain concentrated among relatively few customers in any particular year. The recognized total net sales to our largest customer amounted to €7,046.9 million, or 33.3% of total net sales in 2022, compared with €6,881.1 million, or 37.0% of total net sales in 2021. In 2022, 55.8% of total net sales were made to two customers. The loss of any significant customer or any significant reduction or delay in orders by such a customer may have a material adverse effect on our business, financial condition and results of operations. | Our business and future success depends significantly upon our ability to attract and retain employees, including a large number of highly qualified professionals. Competition for such personnel is intense and has intensified in the last year. Despite our ability to grow our employee base significantly, attracting sufficient numbers of qualified employees to meet our growing needs will remain a challenge. This risk of not being able to attract, onboard and retain qualified personnel increases as our business grows. Our R&D programs require a large number of qualified employees. If we are unable to attract sufficient numbers of such employees, this could affect our ability to conduct our R&D on a timely basis. Also, the loss of key employees for unexpected reasons such as resignation or long-term illness is a risk. | Moreover, as a result of the uniqueness and complexity of our technology, qualified engineers capable of working on our systems are scarce and generally not available from other industries or companies. As a result, we have to educate and train our employees to work on our systems. Retention of those key employees is a critical success factor for us. Furthermore, the increasing complexity of our products results in a longer learning curve for new and existing employees and suppliers, leading to an inability to decrease cycle times, and may result in significant additional costs. Our suppliers face similar risks in attracting and retaining qualified employees, including those in connection with programs that will support our R&D programs and technology developments. If our suppliers are unable to attract and retain qualified employees, this could impact our R&D programs or deliveries of components to us. | In recent years, our organization has grown significantly. We may be unable to effectively manage, monitor and control our employees, facilities, operations and other resources. Our rapid growth in recent years, driven by strong customer demand, puts pressure on our organization and employees, which can negatively impact employee well-being. This may in turn negatively impact the efficiency of our operations, our ability to ensure compliance with laws and regulations as well as our reputation as an employer. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 64 | ||||||||||||||||||
Risk factors (continued) |
5. Operations | ||||||||||||||||||||||||||||||||||||||
We may face challenges in managing the industrialization of our products and bringing them to high-volume production | We are dependent on the continued operation of a limited number of manufacturing facilities | |||||||||||||||||||||||||||||||||||||
Risk category: | Product industrialization | Risk category: | Continuity of own operation | |||||||||||||||||||||||||||||||||||
Bringing our products to high-volume production at a value-based price and in a cost-effective manner depends on our ability to manage the industrialization of our products and to manage costs. Customer adoption of our products depends on the performance of our products in the field. As our products become more complex, we face an increasing risk that products may not meet development milestones or specifications and may not perform according to specifications, including quality standards. If our products do not perform according to specifications and performance criteria or if quality or performance issues arise, this may result in additional costs, reduced demand for our products and our customers being unable to meet planned wafer capacity. Transitioning our newly developed products to full-scale production requires the expansion of our infrastructure, including enhancing our manufacturing capabilities, increasing the supply of components and training qualified personnel. It may also require our suppliers to expand their infrastructure capabilities. If we or our suppliers are unable to expand infrastructure as necessary, we may be unable to introduce new technologies, products or product enhancements or reach high-volume production of newly developed products on a timely basis or at all. | In addition, when we are successful in industrializing new products, it can take years to reach profitable margins, as was the case for EUV 0.33 NA. New technologies might not have the same margins as existing technologies, and we might not be able to adjust value-based pricing and/or cost in an effective manner. In addition, the introduction of new technologies, products or product enhancements also impacts ASML’s liquidity, as new products may have higher cycle times, resulting in increased working capital needs. This impact on liquidity increases as our products become more complex and expensive. The capability, capacity and costs associated with providing the required customer support function to cover the increasing number of shipments and service a growing number of EUV systems that are operational in the field could affect the timing of shipments. It could also impact the efficient execution of maintenance, servicing and upgrades, which is key to our systems continuing to achieve the required productivity. | All of our manufacturing activities, including subassembly, final assembly and system testing, take place in cleanroom facilities in Veldhoven (the Netherlands), Berlin (Germany), Wilton, San Diego (US), Pyeongtaek (South Korea), and Linkou and Tainan (Taiwan). These facilities may be subject to disruption for a variety of reasons, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockages, sabotage or other disasters, natural and otherwise. We cannot ensure that alternative production capacity would be available if a major disruption were to occur. In 2022, we experienced a fire in our Berlin operations which required significant recovery efforts to secure our operations. | As our organization grows, we are not able to fully insure our risk exposure. In addition, not all disasters are insurable. As we are unable to duly insure against potential losses, we are subject to the financial impact of uninsured losses, which can have an adverse impact on our financial condition and results of operation. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 65 | ||||||||||||||||||
Risk factors (continued) |
We face challenges to meet demand | The nature of our operations exposes us to health, safety and environment risks | |||||||||||||||||||||||||||||||||||||
Risk category: | Manufacturing and install, Human resources, Supplier strategy and performance | Risk category: | Environment, health and safety | |||||||||||||||||||||||||||||||||||
We have in recent years and are continuing to experience increasing demand across all our market segments and product portfolio because our systems play critical roles in meeting end-market demand. This high level of demand brings challenges. We have been and are continuing to increase production capacity in our end-to-end supply chain to meet this demand, but we face challenges in increasing capacity. For example, in order to increase our capacity, we depend on our suppliers increasing their capacity, and it takes time to build the production space and equipment required for expansion. We and our supply chain also need to obtain permits to make expansion possible; these may not be (timely) granted. It is a challenge for ASML and our suppliers to hire and retain more employees in the current competitive labor market. Our processes and systems may not be able to adequately support our growth. In addition, our end-to-end supply chain is facing a shortage of materials which is hampering our growth. | If we are not successful in increasing our capacity to meet demand, this could impact our relationships with customers and our competitive position. The increased demand and resultant supply constraints that we are continuing to experience lead to longer lead times for customers which could result in customers changing their sourcing strategy to become less dependent on ASML, which impacts our market share in certain product offerings. Where we are able to increase our capacity, we are subject to increased risk of a downturn, as it becomes more difficult for us to reduce costs in the event of an industry downturn. | Hazardous substances are used in the production and operation of our products and systems, which subjects us to a variety of governmental regulations relating to environmental protection and employee and product health and safety. This includes the transport, use, storage, discharge, handling, emission, generation, and disposal of toxic or other hazardous substances. In addition, operating our systems (which use lasers and other potentially hazardous systems) can be dangerous and can result in injury. The failure to comply with current or future regulations could result in substantial fines being imposed on us, suspension of production, alteration of our manufacturing and assembly and test processes, damage to our reputation and/or restrictions on our operations or sale or other adverse consequences. | Additionally, our products have become increasingly complex. This requires us to invest in continued risk assessments and development of appropriate preventative and protective measures for health and safety for both our employees (in connection with the production and installation of our systems and field options and performance of our services) and our customers’ employees (in connection with the operation of our systems). Our health and safety practices may not be effective in mitigating all health and safety risks. Failure to comply with applicable regulations or the failure of our implemented practices for customer and employee health and safety could subject us to significant liabilities. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 66 | ||||||||||||||||||
Risk factors (continued) |
Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations | |||||||||||||||||||||||||||||
Risk category: | Security, Information technology, Process effectiveness and efficiency | ||||||||||||||||||||||||||||
We rely on the accuracy, availability and security of our information technology (IT) systems. Despite the measures that we have implemented, including those related to cybersecurity, our systems could be breached or damaged by computer viruses and systems attacks, natural or man-made incidents, disasters or unauthorized physical or electronic access, and we have experienced some of these incidents. We are experiencing an increasing number of cyberattacks on our IT systems as well as the IT systems of our suppliers, customers and other service providers, whose systems we do not control. These attacks include malicious software (malware), attempts and acts to gain unauthorized access to data and other electronic and physical security breaches of our IT systems. They also include the IT systems of our suppliers, customers and other service providers that have led and could lead, for us, our customers, suppliers or other business partners – including R&D partners – to disruptions in critical systems, unauthorized release, misappropriation, corruption or loss of data or confidential information (including confidential information relating to our customers, employees and suppliers). Further, we depend on our employees and the employees of our suppliers to appropriately handle confidential and sensitive data and deploy our IT resources in a safe and secure manner that does not expose our network systems to security breaches or the loss of data. | Inadvertent disclosure or actions or malfeasance by our employees, those of our suppliers or other third parties have resulted and may in the future result in a loss or misappropriation of data or a breach or interruption of our IT systems, and could result in competitive harm and violate export controls and other laws and regulations which could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny or export control measures. We have experienced unauthorized misappropriation of data relating to proprietary technology by a (now) former employee in China. We promptly initiated a comprehensive internal review. Based upon our initial findings we do not believe that the misappropriation is material to our business. However, as a result of the security incident, certain export control regulations may have been violated. ASML has therefore reported the incident to relevant authorities. We are implementing additional remedial measures in light of this incident. In addition, any system failure, accident or security breach could result in business disruption, theft of our intellectual property or trade secrets (including our proprietary technology), unauthorized access to, or disclosure of, customer, personnel, supplier or other confidential information, corruption of our data or of our systems, reputational damage or litigation and violation of applicable laws. | Furthermore, computer viruses or other malware may harm our systems and software and could be inadvertently transmitted to our customers’ systems and operations, which could result in loss of customers, litigation, regulatory investigation and proceedings that could expose us to civil or criminal liabilities and diversion of significant management attention and resources to remedy the damages that result. We may also be required to incur significant costs to protect against or repair the damage caused by these disruptions or security breaches, including, for example, rebuilding internal systems, implementing additional threat protection measures, providing modifications to our products and services, defending against litigation, responding to regulatory inquiries or actions, paying damages, or taking other remedial steps with respect to third parties. Further, remediation efforts may not be successful and could result in interruptions, delays or cessation of service, unfavorable publicity, damage to our reputation, customer allegations of breach-of-contract, possible litigation and loss of existing or potential customers that may impede our sales or other critical functions. Cybersecurity threats are constantly evolving. We remain potentially vulnerable to additional known or as yet unknown threats, as in some instances, we, our customers, partners and our suppliers may be unaware of an incident or its magnitude and effects. | We also face the risk that we could unintentionally expose our customers to cybersecurity attacks through the systems we deliver to them, including in the form of malware or other types of attacks, as described above, which could harm our customers. Furthermore, we have increased the level of remote working within our organization, which increases the risks of cybersecurity incidents. ASML’s visibility and importance for the semiconductor industry continues to increase. There is a risk that this may lead to actions that may adversely impact the security of ASML or the safety of its employees. In addition, processes and systems may not be able to adequately support the growth that we have experienced in recent years and continue to experience. From time to time, we implement updates to our IT systems and software, which can disrupt or shut down our IT systems. We may not be able to successfully launch and integrate these new systems as planned without disruption to our operations. For example, we are currently implementing a new ERP system and infrastructure. As a result of this system implementation or otherwise, we have and could continue to experience disruptions in our operations. | ||||||||||||||||||||||||||
Read more in:Governance - Responsible business - Information security. | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 67 | ||||||||||||||||||
Risk factors (continued) |
6. Legal and compliance | ||||||||||||||||||||||||||||||||||||||
We are subject to increasingly complex regulatory and compliance obligations | Changes in taxation could affect our future profitability | |||||||||||||||||||||||||||||||||||||
Risk category: | Violation of laws and regulations | Risk category: | Violation of laws and regulations | |||||||||||||||||||||||||||||||||||
In recent years, our business has grown significantly in terms of sales, operations, employees and our business infrastructure. As a result, compliance with laws and regulations, including with as well as our internal policies and standards, such as without limitation, the ASML Code of Conduct, has become more complex. Furthermore, as we operate in different countries in the world, we have become increasingly subject to compliance with additional laws and regulations in such jurisdictions, including but not limited to export control, anti-corruption, anti-bribery, antitrust and ESG regulations, which can be complex. We may also be subject to investigations, audits and reviews by authorities in such jurisdictions regarding compliance with laws and regulations, including tax laws. | In addition, the existing laws and regulations that we are subject to, including regulations relating but not limited to trade, national security, tax, export controls, reporting, product compliance, anti-corruption laws, antitrust, human rights, data protection, spatial planning and environmental laws, are becoming more complex and the trade and national security environment has resulted in increasing restrictions. Trade and security regulations limit our ability to sell our products and services in certain jurisdictions and we face the risk of further restrictions. We have experienced delays in permits for shipments as well as restrictions on shipping certain products or components to certain customers. Such changes in the regulations that apply to our business can increase compliance costs and the risk of non-compliance. Non-compliance could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny measures. Furthermore, additional regulations could impact or limit our ability to sell our products and services in certain jurisdictions. | We are subject to income taxes in the Netherlands and the other countries in which we are active. Our effective tax rate has fluctuated in the past and may fluctuate in the future. Changes in our business environment can affect our effective tax rate. The same applies to changes in tax legislation in the countries where we operate, together with developments driven by global organizations such as the OECD, as well as any change in approach to tax by tax authorities. All these initiatives have already resulted in and may result in further increased compliance obligations for ASML. Additionally, this may result in an increase in our effective tax rate in future years. | Changes in tax legislation in jurisdictions where we operate may adversely impact our tax position and consequently our net income. Our worldwide effective tax rate is heavily impacted by R&D incentives included in tax laws and regulations in the countries where we operate. Examples include the so-called innovation box in the Netherlands and the foreign derived intangible income deduction/R&D credits we obtain in the US. If jurisdictions alter their tax policies/laws in this respect, it may have an adverse effect on our worldwide effective tax rate. In addition, jurisdictions levy corporate income tax at different rates. The mix of our sales over the various jurisdictions in which we operate may vary from year to year, resulting in a different mix of corporate income tax rates applicable to our profits, which can also affect our worldwide effective tax rate and impact our net income. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 68 | ||||||||||||||||||
Risk factors (continued) |
7. Other risk factors | ||||||||||||||||||||||||||||||||||||||||||||
COVID-19 or other pandemics may impact our operations | Restrictions on shareholder rights may dilute voting power | We may not declare cash dividends, conduct share buyback programs or cancel shares at all or in any particular amounts in any given year | We may be impacted by the Russia–Ukraine conflict | |||||||||||||||||||||||||||||||||||||||||
The COVID-19 pandemic and the measures implemented to address this pandemic globally may continue to impact our business, our suppliers and our customers. Pandemics can have significant impact on the global economy, which can potentially affect our end markets. The COVID-19 pandemic has increased the level of remote working within our organization, which impacts productivity and may delay our roadmap, increase the risks of cybersecurity incidents and/or impact our control environment. In addition, as we are dependent on our suppliers, disruptions to their operations as a result of the COVID-19 pandemic impact us and our ability to produce, deliver and service tools. Market demand for semiconductors and therefore our products and services can also be impacted by the COVID-19 pandemic and measures taken to address it. Further, an important part of our business involves installing and servicing tools at customer premises around the globe, and this could be impacted by travel restrictions and vaccination requirements. There is uncertainty as to how the COVID-19 pandemic could develop and the impact on global GDP, end markets and our manufacturing capability and supply chain. The impact of the pandemic on ASML will depend on future developments, including the continued severity of the pandemic, and the actions of the Dutch and other foreign governments to contain outbreaks or address their impact, which are outside of our control. | Our Articles of Association provide that we are subject to the provisions of Dutch law applicable to large corporations, called ‘structuurregime’. These provisions have the effect of concentrating control over certain corporate decisions and transactions in the hands of our Supervisory Board. As a result, holders of ordinary shares may have more difficulty in protecting their interests in the face of actions by members of our Supervisory Board than if we were not subject to the ‘structuurregime’. Our authorized share capital also includes a class of cumulative preference shares, and we have granted Stichting Preferente Aandelen ASML, a Dutch foundation, an option to acquire, at the nominal value of €0.09 per share, such cumulative preference shares. Exercise of the Preference Share Option would effectively dilute the voting power of our outstanding ordinary shares by one-half, which may discourage or significantly impede a third party from acquiring a majority of our voting shares. | We aim to pay a quarterly dividend that is growing (on an annualized basis) over time, and we conduct share buybacks from time to time. The dividend proposal, amount of share buybacks and cancellation of shares in any given year will be subject to the availability of distributable profits, retained earnings and cash, and may be affected by, among other factors, the Board of Management’s views on our potential future liquidity requirements, including for investments in production capacity and working capital requirements, the funding of our R&D programs and for acquisition opportunities that may arise from time to time, and by future changes in applicable income tax and corporate laws. The Board of Management may decide to propose not to pay a dividend or to pay a lower dividend and may suspend, adjust the amount of or discontinue share buyback programs, or we may otherwise fail to complete buyback programs. | Although we do not currently have operations in Russia or Ukraine, the impact of the military action in Ukraine creates uncertainty in the macroeconomic environment. This military action, including sanctions and other measures taken in response, have and could further adversely affect the global economy, the financial markets and supply chain, which therefore may impact customer demand, delivery of products and services to clients, as well as our ability and the ability of our supply chain to obtain parts, components and gas supply. In addition, the conflict amplifies the surge in energy prices, commodity prices, transportation costs, inflation and cyberattacks. | |||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 69 |
VIRTUAL AND AUGMENTED REALITY | |||||||||||
Virtual reality, unreal opportunities | |||||||||||
There’s more to virtual reality (VR) and augmented reality (AR) than gaming. At ASML, these technologies are helping us design, build and maintain some of the world’s most complex machines. Through VR and AR, our teams are able to manipulate designs and learn how to maintain systems – in some cases, many years before the machines themselves physically exist. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 70 | |||||||||||||||
ESG at a glance | ||||||||||||||||||||
We aim to be a leader in sustainability, and to continue driving progress toward inclusive and sustainable growth for all. |
Our vision | Our contribution to a digital, sustainable future | |||||||||||||||||||||||||
We want to contribute to expanding computing power but with minimal waste, energy use and emissions. That's why we focus on energy efficiency, climate action and circular economy. | ||||||||||||||||||||||||||
Our vision at ASML is to enable ground-breaking technology that solves some of humanity’s toughest challenges. | ||||||||||||||||||||||||||
We want to ensure that responsible growth benefits all our stakeholders – to have an attractive workplace for all and a responsible supply chain, to fuel innovation in our ecosystem and to be a valued partner in our communities. | ||||||||||||||||||||||||||
We commit to act on our responsibilities and fully anchor them in the way we do business through our focus on integrated governance, engaged stakeholders and transparent reporting. | ||||||||||||||||||||||||||
How we report on our ESG progress | ||||||||||||||||||||||||||||||||||||||
SDGs we align with | ESG Sustainability chapters | |||||||||||||||||||||||||||||||||||||
Environmental | –Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||||||||||||||||||||||
–Circular economy | Read more on page 85 > | |||||||||||||||||||||||||||||||||||||
Social | –Attractive workplace for all | Read more on page 97 > | ||||||||||||||||||||||||||||||||||||
–Our supply chain | Read more on page 109 > | |||||||||||||||||||||||||||||||||||||
–Innovation ecosystem | Read more on page 118 > | |||||||||||||||||||||||||||||||||||||
–Valued partner in our communities | Read more on page 124 > | |||||||||||||||||||||||||||||||||||||
Governance | –Managing ESG sustainability | Read more on page 134 > | ||||||||||||||||||||||||||||||||||||
–Responsible business | Read more on page 135 > | |||||||||||||||||||||||||||||||||||||
–Our approach to tax | Read more on page 147 > | |||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 71 | |||||||||||||||
Our material ESG sustainability topics |
Step 1: Understand the context | Step 2: Identify impacts | Step 3: Assess the significance of the impacts | Step 4: Prioritize the most significant impacts | ||||||||||||||||||||||||||||||||||||||||||||||||||
List of topics, positive and negative, actual and potential, short and long-term impacts | Positive and negative against their scale, scope and remediability | Most material topics influence strategy and long-term targets | |||||||||||||||||||||||||||||||||||||||||||||||||||
Shareholders | Customers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Employees | Suppliers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Society | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Key changes in the sustainability topics list from 2021 to 2022 (Step 2: Identify impacts) | ||||||||||||||||||||
2022 topics | 2021 topics | |||||||||||||||||||
Environmental | –Circular economy | –Waste management –Circular economy: Re-use –Circular economy: Recycling | ||||||||||||||||||
Environmental | –Energy management and carbon footprint: Supply chain –Energy management and carbon footprint: Operations | –Energy management operations | ||||||||||||||||||
–Energy management and carbon footprint: Product use and downstream | –Energy management products | |||||||||||||||||||
Environmental | –Biodiversity | (none) | ||||||||||||||||||
Social | –Innovation ecosystem | –IP protection –Innovations management –Innovation partnership | ||||||||||||||||||
Social | –Talent attraction, employee engagement and retention | –Talent attraction and retention –Employee engagement | ||||||||||||||||||
Social | –Responsible supply chain and product stewardship | –Responsible supply chain –Product stewardship | ||||||||||||||||||
Social | –Diversity and inclusion –Occupation health and safety –Responsible supply chain and product stewardship | –Human rights | ||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 72 | |||||||||||||||
Our material ESG sustainability topics (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 73 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Material topics 20221 | |||||||||||||||||||||||
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Energy management and carbon footprint – Product use and downstream | a) Energy-efficiency products (EUV, DUV) b) Energy consumption (EUV, DUV) c) Scope 3 downstream emissions | Negative | Actual | Downstream customers and society | |||||||||||||||||||
Energy management and carbon footprint – Supply chain | a) Energy management supply chain b) Scope 3 upstream emissions | Negative | Actual | Upstream suppliers and partners | |||||||||||||||||||
Energy management and carbon footprint – Operations | a) Energy use within and management of own buildings and factories b) Reduction of energy consumption c) Use of renewable energy for our operations d) Resulting scope 1 and 2 GHG emissions | Negative | Actual | Own operations | |||||||||||||||||||
Circular economy | a) Waste generated through operations (e.g. waste from parts, packaging, construction, hazardous waste and other waste directed to disposal) b) Use of non-renewable materials and resources | Negative | Actual | Entire value chain | |||||||||||||||||||
c) Use of renewable materials and resources d) Measure to reduce and manage waste from operations (e.g. recycling, re-use and waste diverted from disposal) e) Measure to reduce the use of materials and move to circulation of products and material | Positive | Actual | Entire value chain | ||||||||||||||||||||
Diversity and inclusion | a) Workforce gender diversity b) Diversity of governance bodies c) Workforce inclusiveness d) Pay equality, i.e. the ratio of basic salary and remuneration of women to men e) Diversity (age, gender, cultural background, etc.) of new hires, promotions and turnover | Positive | Actual | Own operations | |||||||||||||||||||
Talent attraction, employee engagement and retention | a) New employee hires and employee turnover b) Working conditions, including working time, rest periods, holidays, dismissal practices, maternity protection, support for collective bargaining to determine wages, etc. c) Remuneration practices, including how these relate to legal and industry minimums, whether they enable employees to meet their basic needs, how overtime is compensated, etc. d) Other benefits, including life insurance, healthcare, disability and invalidity coverage, parental leave, retirement provision, etc. | Positive | Actual | Own operations | |||||||||||||||||||
Occupational health and safety | a) Work-related injuries, ill health and well-being b) Work-related hazards and risks, including the identification, assessment and measures taken to manage these risks c) Safety culture, including worker participation, consultation, communication and training on occupational health and safety | Negative | Potential | Own operations | |||||||||||||||||||
Responsible supply chain and product stewardship | a) Social impacts (e.g. health and safety, working conditions, child labor, etc.) in the supply chain and actions taken b) Environmental impacts (e.g. pollution, water use, etc.) in the supply chain and actions taken c) Supplier ESG standards and screening d) Supplier ESG performance e) Impact on environmental and social aspects in the supply chain from product design and engineering | Negative | Potential | Upstream suppliers and partners |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 74 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Innovation ecosystem | a) Innovation partnerships b) Innovation pipeline c) In-kind support startups and scaleups d) EU public-private R&D innovation projects e) Knowledge management | Positive | Actual | Entire value chain | |||||||||||||||||||
Community engagement | a) Local community impacts, including housing, talent pipeline (region), mobility and infrastructure, social cohesion, neighbor (local) impact | Negative | Actual | Own operations | |||||||||||||||||||
b) Local community impacts, including economic growth, local tax contribution and job creation c) Philanthropy, including local community engagement and development programs | Positive | Actual | Own operations |
We believe that increasing digitalization opens the way to a society that is more environmentally and socially sustainable. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 75 | |||||||||||||||
Environmental at a glance | ||||||||||||||||||||
We are committed to reducing our environmental footprint both from our operations and the use of our products and services. |
What we do | ||||||||
We develop lithography technology that enables manufacturers to make more energy-efficient microchips. Reducing our environmental footprint and managing our waste – both from our operations and in the use of our products and services – is key to our ESG practices. | ||||||||
Our aims | ||||||||
As the world continues to increase its dependence on technology to solve some of its most pressing challenges, our role is to help make this happen by expanding the availability of the necessary computing power. Our ambition is to achieve carbon neutrality with net zero emissions in our operations (scope 1 and 2) by 2025. We aim to achieve net zero emissions in our supply chain (scope 3) by 2030, and net zero emissions from the use of our products by our customers (scope 3) by 2040. In addition, our goal is to have zero waste from operations to landfill or incineration by 2030. We focus on energy efficiency – not only in our business but also by addressing the amount of energy that semiconductors require in operation. We are also working hard to manage our own waste streams and improve the circularity of our value chain. Our actions are closely aligned to two SDGs in particular – SDG 13 (Energy efficiency and climate action) and SDG 12 (Circular economy). | ||||||||
Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||
SDG 13 Take urgent action to combat climate change and its impacts by regulating emissions and promoting developments in renewable energy | –Energy management and carbon footprint: Operations (Scope 1 and 2) –Energy management and carbon footprint: Supply chain, business travel and commuting (Scope 3) –Energy management and carbon footprint: Product use at our customers (Scope 3) | ||||||||||||||||
Circular economy | Read more on page 85 > | ||||||||||||||||
SDG 12 | –Reduce waste in our operations –Re-use parts and materials –Refurbish mature products –Water management | ||||||||||||||||
Ensure sustainable consumption and production patterns | |||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 76 | |||||||||||||||
Energy efficiency and climate action | ||||||||||||||||||||
We are committed to lowering our carbon footprint wherever we can to achieve net zero emissions across our operations and in our supply chain. As well as increasing the productivity of our products, we are also working toward reducing their absolute energy consumption. |
38.1 kt | 1.11 kt | |||||||||||||
Scope 1 and 2 CO2e emissions (2025 target: net zero) | Scope 3 CO2e emissions intensity (per €m gross profit) (2025 target: 1.02) | |||||||||||||
0.56 kt | 11.9 Mt | |||||||||||||
Net scope 3 CO2eemissions intensity (per €m revenue) | Scope 3 CO2e emissions (2040 target: net zero) | |||||||||||||
8.27 kWh | ||||||||||||||
NXE energy use per exposed wafer pass (NXE:3600D, measured in 2021) (2025 target: 5.1 kWh) |
Energy efficiency and climate action | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 13.1 | ||||||||||||||
Strengthen resilience and adaptive capacity to climate-related hazards and natural disasters in all countries | –Scope 1 and 2 CO2e emissions –Scope 3 CO2e emissions intensity (per €m gross profit) –Net scope 3 CO2eemissions intensity (per €m revenue) –Scope 3 CO2e emissions –NXE energy use per exposed wafer pass | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 77 | |||||||||||||||
Energy efficiency and climate action (continued) |
The following diagram illustrates our journey to net zero emissions in our value chain: |
Our journey to net zero emissions in our value chain | |||||||||||
Our goal is to achieve the following milestones in our journey toward net zero emissions in our value chain by 2040, for each of our impact areas: –2025: Net zero scope 1+2 emissions –2025: Net zero scope 3 emissions from business travel and commuting –2030: Collaborating with our suppliers, reduce net scope 3 upstream emissions to zero –2040: Collaborating with our customers and peers, reduce net scope 3 emissions from product use to zero Our approach to achieving net zero emissions is based on four pillars: 1.Analyzing energy use and greenhouse gas (GHG) emissions to learn about improvement options 2.Innovating in energy efficiency, and redesigning our assets, products and processes to minimize environmental impact | |||||||||||
3.Aiming to lead on the shift toward 100% credible, renewable energy | ||
4.Compensating residual emissions to achieve our targets if no reasonable other improvement actions are available | ||
We recognize that we cannot do any of this alone, which is why we collaborate closely with our employees, suppliers, customers, peers and society. We identify and assess the impact of climate-related risks and opportunities using the assessment guidelines of the Task Force on Climate-related Financial Disclosures (TCFD). | ||
Read more in: | ||
Our TCFD Recommendations: climate-related disclosure, available on www.asml.com. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 78 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Climate action | Net zero | Scope 1 – Direct emissions from fossil fuels in our operations (kton) | 15.4 | 19.3 | 17.3 | • | ||||||||||||||||||||||||||
Net zero | Scope 2 – Indirect emissions from energy consumption (kton) [market-based]2 | 0.0 | 20.1 | 20.8 | • | |||||||||||||||||||||||||||
Net zero (2040) | Scope 3 – Indirect emissions from total value chain (kton) | 8,800.0 | 11,400.0 | 11,900.0 | • | |||||||||||||||||||||||||||
Total footprint (in kton)1 | 8,815.4 | 11,439.4 | 11,938.1 | |||||||||||||||||||||||||||||
n/a | Scope 3 CO2e emissions intensity (per €m revenue) | 0.63 | 0.61 | 0.56 | n/a | |||||||||||||||||||||||||||
1.02 | Scope 3 CO2e emissions intensity (per €m gross profit) | 1.29 | 1.16 | 1.11 | • | |||||||||||||||||||||||||||
n/a | Reduction in GHG emissions from projects (kton) | n/a | n/a | 2.6 | n/a | |||||||||||||||||||||||||||
Energy efficiency | 5.1 | Products – NXE energy use per wafer (in kWh) | 9.64 (NXE:3400C) | 8.27 (NXE:3600D) | 8.27 (NXE:3600D) | • | ||||||||||||||||||||||||||
n/a | Products – NXT energy use per wafer (in kWh) | 0.45 (NXT:2050i) | 0.48 (NXT:1980Ei) | 0.46 NXT:2100i | n/a | |||||||||||||||||||||||||||
n/a | Energy consumption (in TJ) | 1,412 | 1,689 | 1,633 | n/a | |||||||||||||||||||||||||||
100 TJ | Energy savings worldwide through projects (in TJ)3 | 113.9 | 12.7 | 19.0 | • | |||||||||||||||||||||||||||
100% | Renewable electricity (of total electricity purchased) | 100 | % | 92 | % | 91 | % | • | ||||||||||||||||||||||||
(10)% | Energy consumption (NXE) (reduction in % of baseline 2018 1.4 MW) | (6)% (NXE:3400C) | (6)% (NXE:3600D) | (6)% (NXE:3600D) | • | |||||||||||||||||||||||||||
n/a | Throughput (in wph) (NXE) | 136 (NXE:3400C) | 160 (NXE:3600D) | 160 (NXE:3600D) | n/a | |||||||||||||||||||||||||||
(60)% | Energy use per exposed wafer pass (NXE) (reduction in % of baseline 2018) | (26)% (NXE:3400C) | (37)% (NXE:3600D) | (37)% (NXE:3600D) | • |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 79 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Operations (scope 1 and 2) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 80 | |||||||||||||||
Energy efficiency and climate action (continued) |
The table below includes six key projects that support the masterplan and will help to realize savings between 2021 and 2025: | ||||||||||||||||||||
Key projects | Location | Total estimated energy saving – annual (TJ) | Estimated natural gas reduction (TJ) | Estimated electricity reduction (TJ) | ||||||||||||||||
Energy grid | Veldhoven | 50 | 40 | 10 | ||||||||||||||||
Implement adiabatic humidification and elimination of steam generation | Veldhoven | 12 | 12 | 0 | ||||||||||||||||
Renewable energy generation (solar panels) | Veldhoven | 3 | 0 | 3 | ||||||||||||||||
Onsite renewable electricity generation (solar panels) | San Diego | 8 | 0 | 8 | ||||||||||||||||
Replacement of chillers | Wilton | 3 | 0 | 3 | ||||||||||||||||
HVAC energy consumption and improving (set points) | Taiwan | 3 | 0 | 3 | ||||||||||||||||
Total | 79 | 52 | 27 | |||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 81 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Supply chain, business travel and commuting (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 82 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Product use at our customers (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 83 | |||||||||||||||
Energy efficiency and climate action (continued) |
Platform1 | DUV immersion | |||||||||||||||||||||||||
System type | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980Ei | NXT:1960Bi + PEP-B | NXT:2100i | ||||||||||||||||||||
Year of energy measurement | 2015 | 2017 | 2020 | 2021 | 2021 | 2022 | ||||||||||||||||||||
Energy consumption (in MW) | 0.14 MW | 0.14 MW | 0.13 MW | 0.14 MW | 0.13 MW | 0.14 MW | ||||||||||||||||||||
Throughput (wph) | 275 | 275 | 295 | 295 | 250 | 295 | ||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 0.51 kWh | 0.51 kWh | 0.45 kWh | 0.48 kWh | 0.51 kWh | 0.46 kWh | ||||||||||||||||||||
Platform1 | DUV Dry | YieldStar | ||||||||||||||||||||||||||||||
System type | XT:860M | XT:1460 | NXT:1470 | XT:860N | NXT:870 | YS350E | YS375F | YS-380 | ||||||||||||||||||||||||
Year of energy measurement | 2017 | 2020 | 2020 | 2022 | 2022 | 2017 | 2019 | 2020 | ||||||||||||||||||||||||
Energy consumption (in MW) | 0.07 MW | 0.06 MW | 0.11 MW | 0.06 MW | 0.12 MW | 0.01 MW | 0.01 MW | 0.01 MW | ||||||||||||||||||||||||
Throughput (wph) | 240 | 209 | 277 | 260 | 330 | n/a | n/a | n/a | ||||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh)1 | 0.28 kWh | 0.27 kWh | 0.38 kWh | 0.24 kWh | 0.36 kWh | n/a | n/a | n/a | ||||||||||||||||||||||||
Platform1 | EUV 20 mJ/cm2 dose | EUV 30 mJ/cm2 dose | ||||||||||||||||||||||||
System type | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | ||||||||||||||||||||||
Year of energy measurement | 2015 | 2018 | 2020 | 2021 | ||||||||||||||||||||||
Energy consumption (in MW) | 1.15 MW | 1.40 MW | 1.31 MW | 1.32 MW | ||||||||||||||||||||||
Throughput (wph) | 59 | 107 | 136 | 160 | ||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 19.49 kWh | 13.08 kWh | 9.64 kWh | 8.27 kWh | ||||||||||||||||||||||
1.Dose energy in mJ refers to the energy required per expose per cm2. |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 84 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Advanced patterning with EUV helps to limit growth in energy and water use and GHG emissions |
Creating EUV light |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 85 | |||||||||||||||
Circular economy | ||||||||||||||||||||
Minimizing waste and maximizing resources to extract the greatest value from the materials we use, and repurposing our products across their life cycles |
315 kg | 75% | |||||||||||||
Waste generated per €m revenue (2025 target: 209 kg) | Recycling rate (excluding construction) (2025 target: 90%) | |||||||||||||
95% | €0.8bn | |||||||||||||
% of systems sold in the past 30 years still active in the field (2025 target: >95%) | Savings from re-used parts | |||||||||||||
87% | €232m | |||||||||||||
Re-use rate of parts returned from field and factory (2025 target 95%) | Value of scrapped parts and packaging | |||||||||||||
6,675 t | ||||||||||||||
Total waste from operations (excluding construction) |
IN THIS SECTION | |||||||||||
Our overall performance in 2022 | |||||||||||
Reduce waste in our operations | |||||||||||
Re-use parts and materials | |||||||||||
Refurbish mature products | |||||||||||
Water management |
KPI | 2019 | 2020 | 2021 | Target 2025 | ||||||||||
RBA self-assessment completed (in %)1 | 78 | % | 88 | % | 89 | % | 90 | % | ||||||
Suppliers with high risk on sustainability elements evaluated and follow-up agreed (in %) 2 | 25 | % | 0 | % | 100 | % | 100 | % |
€781 million | |||||
Savings from re-used parts | |||||
Circular economy | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 12.2 | ||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | –Recycling rate –Supplier spend covered with commitment to sustainability (LOI) | |||||||||||||
SDG target 12.5 | ||||||||||||||
By 2030, substantially reduce waste generation through prevention, reduction, recycling and re-use | –Reduction in waste –Increase in re-use of parts –Decrease in scrapped parts and packaging –Lifetime extension of systems still active in the field | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 86 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 87 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Circular economy | >95% | % of systems sold in the past 30 years still active in the field | n/a | 94 | % | 95 | % | • | ||||||||||||||||||||||||
95% | Re-use rate of parts returned from field and factory | n/a | 85 | % | 87 | % | • | |||||||||||||||||||||||||
No target | Savings from re-used parts (€, in millions)1,2 | 551 | 686 | 781 | n/a | |||||||||||||||||||||||||||
No target | Value of scrapped parts and packaging (€, in millions)2 | n/a | 269 | 232 | n/a | |||||||||||||||||||||||||||
209 kg/€m | Total waste from operations (excl. construction) normalized to revenue | 360 | 305 | 315 | • | |||||||||||||||||||||||||||
90% | Recycling rate (excl. construction) | 85 | % | 77 | % | 75 | % | n | ||||||||||||||||||||||||
No target | Total waste from operations (excl. construction)3 | 5,026 | 5,679 | 6,675 | n/a |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 88 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Reduce waste in our operations |
Non-hazardous waste recycling | 71 | % | |||||||||
Non-hazardous waste disposed | 24 | % | |||||||||
Hazardous waste recycling | 4 | % | |||||||||
Hazardous waste disposed | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 89 | |||||||||||||||
Circular economy (continued) |
95% | |||||
of our total waste in 2022 was non-hazardous waste |
Wood | 31 | % | |||||||||
General waste | 24 | % | |||||||||
Paper and cardboard | 13 | % | |||||||||
Electronics | 6 | % | |||||||||
Metals | 7 | % | |||||||||
Other non-hazardous waste | 5 | % | |||||||||
Plastic | 5 | % | |||||||||
Organic waste | 5 | % | |||||||||
Construction waste | 4 | % |
Hazardous liquids | 91 | % | |||||||||
Other hazardous waste (e.g. packaging, filters, lamps, etc.) | 6 | % | |||||||||
Cleaning wipes | 2 | % | |||||||||
Batteries | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 90 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 91 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Re-use parts and materials |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 92 | |||||||||||||||
Circular economy (continued) |
87% | ||
Re-use rate of defective parts in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 93 | |||||||||||||||
Circular economy (continued) |
4x | |||||
the value handled by our local repair centers in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 94 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Refurbish mature products |
95% of all systems sold in the past 30 years still active in the field | ||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 95 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Water management |
ASML ANNUAL REPORT 2022 | SOCIAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 96 | |||||||||||||||
Social at a glance | ||||||||||||||||||||
We aim to have a positive role in society for our employees, the communities around us and everyone involved in our innovation ecosystem and supply chain. |
What we do | ||||||||||||||
As a multinational technology company, we impact many people’s lives, both directly and indirectly. We want to have a positive role in society – for our employees, our supply chain, everyone involved in our innovation ecosystem and the communities around us. | ||||||||||||||
Our aims | ||||||||||||||
We work closely with our stakeholders, collaborating to achieve the ambitions of our four focus areas. Our goal is to ensure that responsible growth benefits everyone. To maintain our fast pace of innovation and ensure our long-term success as a company, we need to attract and retain the best talent and provide the best possible employee experience. We aim to be a valued and trusted partner, improving the quality of life for all and supporting people in disadvantaged communities. Through our focus areas, we support five different SDGs in a range of ways. |
Attractive workplace for all | Read more on page 97 > | ||||||||||||||||
SDG 4 and 8 | –Inspiring a unified culture –Best employee experience –Enabling strong leadership –Ensuring employee safety | ||||||||||||||||
Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all/Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all | |||||||||||||||||
Our supply chain | Read more on page 109 > | ||||||||||||||||
SDG 8 and 12 | –Supplier performance and risk management –Responsible supply chain | ||||||||||||||||
Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all/Ensure sustainable consumption and production patterns | |||||||||||||||||
Innovation ecosystem | Read more on page 118 > | ||||||||||||||||
SDG 9 | –Partnerships for research and development –Supporting startups and scaleups | ||||||||||||||||
Build resilient infrastructure, promote inclusive and sustainable industrialization and foster innovation | |||||||||||||||||
Valued partner in our communities | Read more on page 124 > | ||||||||||||||||
SDG 4 and 11 | –Education –Arts & culture –Local outreach | ||||||||||||||||
Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all/Make cities and human settlements inclusive, safe, resilient and sustainable |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 97 | |||||||||||||||
Attractive workplace for all | ||||||||||||||||||||
Empowering individuals for the collective good to ensure our employees are proud to work for us and engaged with our ambitions as a company. |
6.0% | 37,643 | |||||||||||||||||||
Attrition rate (2025 target: <7%) | Total employees (FTE)1 EMEA 21,267 Asia 8,871 US 7,505 | |||||||||||||||||||
78% | (-4%) | 24% | ||||||||||||||||||
Employee engagement score against benchmark (2025 target -2% vs. top 25% performing companies) | Gender diversity (% females’ inflow) (2024 target: 23%) | |||||||||||||||||||
143 | ||||||||||||||||||||
Nationalities | ||||||||||||||||||||
IN THIS SECTION | ||||||||||||||||||||
Our overall performance in 2022 | ||||||||||||||||||||
Inspiring a unified culture | ||||||||||||||||||||
Best employee experience | ||||||||||||||||||||
Enabling strong leadership | ||||||||||||||||||||
Ensuring employee safety |
Attractive workplace for all | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 4.3 | ||||||||||||||||||||
By 2030, ensure equal access for all women and men to affordable and quality technical, vocational and tertiary education, including university | –Employee training and development indicators | |||||||||||||||||||
SDG target 8.1 | ||||||||||||||||||||
Sustain per capita economic growth in accordance with national circumstances and, in particular, at least 7% gross domestic product growth per annum in the least developed countries | –Financial performance | |||||||||||||||||||
SDG target 8.2 | ||||||||||||||||||||
Achieve higher levels of economic productivity through diversification, technological upgrading and innovation, including through a focus on high value-added and labor-intensive sectors | –Employee engagement score | |||||||||||||||||||
SDG target 8.5 | ||||||||||||||||||||
By 2030, achieve full and productive employment and decent work for all women and men, including for young people and persons with disabilities, and equal pay for work of equal value | –Workforce data including diversity and inclusion –Fair remuneration pay ratio | |||||||||||||||||||
SDG target 8.6 | ||||||||||||||||||||
By 2020, substantially reduce the proportion of youth not in employment, education or training | –Employee attrition rate –New hires | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | –Employee safety indicators | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 98 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Attractive workplace for all | Be on par with benchmark target: 2% below benchmark of top 25% performing companies | Employee engagement score | 80 | % | 78 | % | 78 | % | • | |||||||||||||||||||||||
No target | Employee growth (new hires and rate) | 1,932 (8%) | 4,373 (15%) | 7,130 (21%) | n/a | |||||||||||||||||||||||||||
<7% | Attrition rate | 3.8 | 5.4 | 6.0 | • | |||||||||||||||||||||||||||
20% (in 2024) | Gender diversity – % females inflow job grade 13+ | n/a | 12% | 35% | • | |||||||||||||||||||||||||||
12% (in 2024) | Gender diversity – % females job grade 13+ | n/a | 8 | % | 10% | • | ||||||||||||||||||||||||||
NL top 10 Taiwan top 20 S Korea top 20 US top 75 China top 100 | Attractiveness to talent (employer brand score)1 | NL 10 Taiwan 22 S Korea 24 US3 177 China 168 | NL 6 Taiwan 6 S Korea2 14 US3 177 China 148 | NL 4 Taiwan 6 S Korea n/a US 159 China 188 | n | |||||||||||||||||||||||||||
0.16 (2022) | Recordable incident rate | 0.18 | 0.17 | 0.18 | n | |||||||||||||||||||||||||||
Target is relative to the score of the top 25% of performing companies by +/-3%) (2024) | Inclusion index | 73 | % | 83 | % | 85 | % | • | ||||||||||||||||||||||||
23% (in 2024) | Inflow % female | 23 | % | 21 | % | 24% | • | |||||||||||||||||||||||||
No target | Total employees | Total 26,481 Male 83% Female 17% Asia 6,057 EMEA 14,714 US 5,710 | Total 30,842 Male 82% Female 18% Asia 7,430 EMEA 17,230 US 6,182 | Total 37,643 Male 80% Female 19% Unknown 1% Asia 8,871 EMEA 21,267 US 7,505 | n/a | |||||||||||||||||||||||||||
No target | Number of nationalities | 120 | 122 | 143 | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 99 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Inspiring a unified culture |
Our Diversity and Inclusion Strategy | |||||||||||||||||
Our roadmap focuses on three key areas: | |||||||||||||||||
Talent | Leadership | ||||||||||||||||
Attract and retain employees by ensuring that they are valued, supported with feedback and can grow their careers | Enabling our leaders to demonstrate commitment, accountability and role-model behavior to advance inclusion within their teams | ||||||||||||||||
Culture | |||||||||||||||||
Cultivate and promote an inclusive culture that equips employees to challenge norms and increase collaboration |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 100 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
24% | |||||||||||
of our new hires were women in 2022 | |||||||||||
85% | |||||||||||
2022 inclusion score |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 101 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Best employee experience |
We want to offer our people the best possible employee experience at all our sites, enabling them to develop their talent, feel respected and work to the best of their abilities. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 102 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
We support our employees in maintaining a healthy, productive and balanced life. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 103 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
7,130 | ||
New payroll employees in 2022 (4,373 in 2021) | ||
21% | ||
Rate of new hires in 2022 (15% in 2021) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 104 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
1.The 2020 to 2022 FTEs in the chart above do not include the FTEs acquired through the acquisition of Berliner Glas (ASML Berlin GmbH). |
87% of new hires indicated that they had a positive onboarding experience in 2022, with good support from their managers. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 105 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Future ASML CLA | ||||||||
In the Netherlands, we continue to aim for dispensation from the Metalektro Collective Labor Agreement (CLA) in order to develop our own CLA. Our unique position in the global market, our size and growth as well as our very unique group of employees and the large range of competencies and activities we bring together to deliver our products have created a need for our own approach to labor conditions. The purpose of a future ASML CLA is to offer a set of labor conditions that match the diversity and needs of all our employees. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 106 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Enabling strong leadership |
As our company grows, so does the need for clarity around roles and expectations. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 107 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Ensuring employee safety |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 108 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 109 | |||||||||||||||
Our supply chain | ||||||||||||||||||||
Setting the bar higher for our world-class supplier network to achieve the innovations we strive for, by ensuring we conduct our business in a sustainable and responsible manner. |
€12.4bn | 5,000 | ||||||||||||||||
Total sourcing spend 39% Netherlands 41% EMEA (excl. NL) 13% North America 7% Asia | Total suppliers 1,600 Netherlands 750 EMEA (excl. NL) 1,300 North America 1,350 Asia | ||||||||||||||||
59% | |||||||||||||||||
% supplier spend covered by commitment to sustainability (LOI) (2025 target: 80%) | |||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Supplier performance and risk management | |||||||||||||||||
Responsible supply chain |
Our supply chain | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | ||||||||||||||||||||
SDG target 12.2 | ||||||||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 110 | |||||||||||||||
Our supply chain (continued) |
ASML’s supply chain strategy is centered on long-term relationships and close cooperation with our suppliers and partners. | ||
We require our suppliers to: | |||||
1.Secure materials from their suppliers to enable the output ramp-up for customers 2.Enable our product roadmap through the development and maintenance of best-in-class competencies and capabilities to secure the most advanced technology and fast time-to-market 3.Drive cost reductions, quality and capability improvements through efficient and dedicated operations 4.Build a sufficiently broad customer base and scale to share and spread the risks of volatile market cycles and to increase flexibility and cost competitiveness 5.Make active contributions to our sustainability strategy |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 111 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Our supply chain | 80% | % supplier spend covered by commitment to sustainability (LOI) | n/a | n/a | 59 | % | • | |||||||||||||||||||||||||
90% | RBA self-assessment completed (in %) | 88 | % | 89 | % | 93 | % | • | ||||||||||||||||||||||||
100% | Suppliers with high risk on sustainability elements evaluated and follow-up agreed (in %) | — | % | 100 | % | 100 | % | • |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 112 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Supplier performance and risk management |
We conduct continuous performance and risk management of our supply base to assure and improve performance, and prevent reputational damage. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 113 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Responsible supply chain |
The purpose of risk management is to maximize the probability of achieving business objectives responsibly.” | ||
Geert Beullens | ||
VP Risk and Business Assurance |
Supervisory Board | Audit Committee | ||||||||||||||||||||||||||||
Request to investigate specific risk topics | –Bi-annual risk review –Risk topics feedback | –Assertion on control effectiveness –Quarterly progress reporting | |||||||||||||||||||||||||||
Board of Management | |||||||||||||||||||||||||||||
Corporate Risk Committee (CRC) Risk oversight | Disclosure Committee Internal Control Committee | ||||||||||||||||||||||||||||
–Risk appetite –Risk management policy –CRC sub committees (governance) | –Risk assessment results –Risk response progress –Incidents | –Control effectiveness | |||||||||||||||||||||||||||
Risk owners | |||||||||||||||||||||||||||||
Type of shares | Amount of shares | Nominal value | Votes per share | ||||||||
Cumulative preference shares | 700,000,000 | €0.09 per share | 9 | ||||||||
Ordinary shares | 699,999,000 | €0.09 per share | 9 | ||||||||
Ordinary shares B | 9,000 | €0.01 per share | 1 |
Year ended December 31 | 2019 | 2020 | 2021 | ||||||||
Issued ordinary shares with nominal value of €0.09 | 419,810,706 | 416,514,034 | 402,601,613 | ||||||||
Issued ordinary treasury shares with nominal value of €0.09 | 5,848,998 | 2,983,454 | 3,873,663 | ||||||||
Total issued ordinary shares with nominal value of €0.09 | 425,659,704 | 419,497,488 | 406,475,276 |
Shares | % of Class6 | |||||||
Capital Research and Management Company 1 | 63,658,826 | 15.81 | % | |||||
BlackRock Inc. 2 | 32,024,422 | 7.95 | % | |||||
Baillie Gifford & Co 3 | 18,262,995 | 4.54 | % | |||||
Members of ASML’s current Board of Management (5 persons) 4,5 | 89,892 | 0.02 | % |
RISK CONTINUED | STRATEGIC REPORT | FINANCIALS | 53 | ||||||||||||||||||||
The purpose of risk management is to maximize the probability of achieving business objectives responsibly.” | ||
Geert Beullens | ||
VP Risk and Business Assurance |
Supervisory Board | Audit Committee | ||||||||||||||||||||||||||||
Request to investigate specific risk topics | –Bi-annual risk review –Risk topics feedback | –Assertion on control effectiveness –Quarterly progress reporting | |||||||||||||||||||||||||||
Board of Management | |||||||||||||||||||||||||||||
Corporate Risk Committee (CRC) Risk oversight | Disclosure Committee Internal Control Committee | ||||||||||||||||||||||||||||
–Risk appetite –Risk management policy –CRC sub committees (governance) | –Risk assessment results –Risk response progress –Incidents | –Control effectiveness | |||||||||||||||||||||||||||
Risk owners | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 53 | ||||||||||||||||||
How we manage risk (continued) |
ASML risk management process provides direction for adequate risk and control measures for key risks.” | ||
Roel Verstegen | ||
Head of Enterprise Risk Management |
ASML risk universe | |||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||
–Industry cycle risk –Political risk –Climate change risk | –Business model risk –Merger and acquisition risk | –Competition risk –Innovation risk –Product stewardship risk | –Product roadmap execution risk –Intellectual property rights risk | ||||||||||||||||||||||||||
Finance and reporting | Partners | People | Operations | ||||||||||||||||||||||||||
–Business planning risk –Foreign exchange rate risk –Liquidity risk –Interest rate risk –Capital availability risk –Counterparty credit risk –Shareholder activism risk –Disclosure/external reporting risk | –Customer dependency risk –Product/service quality risk –Supplier strategy and performance risk –Supply chain disruption risk | –Knowledge management risk –Organizational effectiveness risk –Human resource risk | –Product industrialization risk –Process effectiveness and efficiency risk –Environment, health and safety risk –Continuity of own operation risk –Security risk –Information technology risk –Manufacturing and install risk | ||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||
–Contractual liability risk | –Violation of laws and regulations risk | –Violation of internal policies risk | |||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 54 | ||||||||||||||||||
How we manage risk (continued) |
Risk assessment | Risk response | |||||||||||||||||||||||||||||||||||||
Top-down risk assessment | Coordination and follow-up | |||||||||||||||||||||||||||||||||||||
Corporate Risk Committee/Risk owners/Emerging risks | Risk owners | |||||||||||||||||||||||||||||||||||||
Risk identification | Risk landscape | Risk appetite | ||||||||||||||||||||||||||||||||||||
Risk analysis | ||||||||||||||||||||||||||||||||||||||
Risk evaluation | Risk treatment | |||||||||||||||||||||||||||||||||||||
Bottom-up risk assessment | Execution | |||||||||||||||||||||||||||||||||||||
Country/Sector | Action owners | |||||||||||||||||||||||||||||||||||||
Risk type | Averse | Prudent | Moderate | High | Extensive | ||||||||||||||||||||||||||||||||||||||||||||||||
Strategy and products | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Partners | |||||||||||||||||||||||||||||||||||||||||||||||||||||
People | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Operations | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Finance and reporting | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Legal and compliance | |||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 55 | ||||||||||||||||||
How we manage risk (continued) |
Strategy | Risk categories | Risk developments | Risk responses | ||||||||
Continue innovating at pace to maintain technology leadership | Innovation Product roadmap execution IP rights Supplier strategy and performance Human resource Knowledge management Security Competition | Intellectual Property (IP) technology leadership pressure | –Patents and relevant technical publications monitoring –Extensive investments in security program –Awareness and training programs –Cyber Defense Center | ||||||||
–There is We | |||||||||||
Advanced lithography solutions | Product industrialization Manufacturing and install Continuity of own operations Supplier strategy and performance Supply chain disruption Human resource Product and service quality Process effectiveness and efficiency Violations of laws and regulations Business model Competition Political Industry cycle | Growth challenges | –Increase of manufacturing capabilities, utilization rate and cycle-time reduction –Fast shipments –Support suppliers to increase move rate and mitigate material shortages –Deployment of onboarding and well-being programs –Shorten time to knowledge (learning operating model) | ||||||||||
–There is an increasing demand across all market segments and our product portfolio, which is an opportunity for us that also brings challenges. We face challenges to increase production capacity in our end-to-end supply chain to meet this demand. This is amplified by supply chain constraints. –Hiring, onboarding and retaining the workforce in the current competitive market is increasingly challenging. Consistent pressure on our organization and people as a result of our growth may lead to well-being issues among our employees. –The high demand we are continuing to experience could change customers’ sourcing strategies to become less dependent on ASML. | |||||||||||||
Geopolitical tensions | –Collaborate with peers in global advocacy –Scenario planning around potential geopolitical events –Apply for export licenses as required –Comply with applicable (existing and new) regulations –Optimization of supply chain footprint | ||||||||||||
–Changes in relations between Taiwan and the People’s Republic of China could lead to additional trade restrictions and could impact our employees and the ability to utilize our manufacturing facilities and supply chain in Taiwan for our global customers, as well as our ability to | |||||||||||||
Weakening global economy | |||||||||||||
Drive a more sustainable world | Product stewardship EHS Climate change Human resource Violation of laws and regulations Continuity of own operations Supply chain disruption | Strengthening ESG regulations and increasing stakeholder expectations | –Deployment of business continuity plans –Include extreme weather aspects in building upgrades and new designs –Comply with (existing and new) regulations | ||||||||||
Climate change fueling extreme weather | |||||||||||||
–Climate change contributes to increasing severity and frequency of extreme weather events (such as cyclones and flood, fire stress, drought, heat and precipitation stress, rising sea levels) that can impact continuity of our operations and/or our supply chain. |
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 56 | ||||||||||||||||||
Risk factors | |||||||||||||||||||||||
We face many risks that have the potential to impact our business. It is important to understand the nature of these. We assess our risks by using the ASML risk universe, which comprises six risk types (Strategy and products, Finance and reporting, Partners, People, Operations, Legal and compliance). |
1. Strategy and products | ||||||||||||||||||||
Our future success depends on our ability to respond timely to commercial and technological developments in the semiconductor industry | ||||||||||||||||||||
Risk category: | Business model, Innovation | |||||||||||||||||||
Our success in developing new technologies, products and services, and in enhancing our existing products and services, depends on a variety of factors. These include the success of our and our suppliers’ R&D programs and the timely and successful completion of product development and design relative to competitors, or more costly. Our business will suffer if the technologies we pursue to assist our customers in producing smaller and more energy-efficient chips are not as effective as those developed by competitors. Our business will also suffer if our customers do not adopt technologies that we develop, or adopt new technological architectures that are less focused on lithography products. The success of our EUV 0.55 NA (High-NA) technology, which we believe is critical for keeping pace with Moore’s Law, remains dependent on continuing technical advances by us and our suppliers. We invest considerable financial resources to develop and introduce new and enhanced technologies, products and service offerings. If we are unsuccessful in developing (or if our customers do not adopt) these technologies, products and service offerings such as EUV 0.55 NA and multibeam inspection, or if alternative technologies or processes are successfully introduced by others, our competitive position and business may suffer. | In addition, we make significant investments in developing new products and product enhancements, and we may be unable to recoup some or all of these investments. We may incur impairment charges on capitalized technology including prototypes or incur costs related to inventory obsolescence, as a result of technological changes. Such costs may increase as the complexity of technology increases. Due to the highly complex nature and costs of our systems, including newer technologies, our customers may purchase existing technology systems rather than new leading-edge systems, or may delay their investment in new technology systems to the extent that such investment is not economical or required, given their product cycles. Global economic conditions affect our customers’ investment decisions, leading to uncertainties on the timing around the introduction of and demand for new leading-edge systems. Some of our customers have experienced and may continue to experience delays in implementing their product roadmaps. This increases the risk of slowing down the overall transition period (or cadence) for the introduction of new nodes, and therefore new systems. We also depend on our suppliers to maintain their development roadmaps to enable us to introduce new technologies on a timely basis. If they are unable to keep pace, whether due to technological factors, lack of financial resources or otherwise, this could prevent us from meeting our development roadmaps. | |||||||||||||||||||
The success of new product introductions is uncertain and depends on our ability to successfully execute our R&D programs | ||||||||||||||
Risk category: | Product roadmap execution, Innovation | |||||||||||||
As our lithography systems and applications have become increasingly complex, the costs and time periods to develop new products and technologies have increased. We expect such costs and time periods to continue to increase. In particular, developing new technology, such as EUV 0.55 NA (High-NA) and multibeam, requires significant R&D investments by us and our suppliers to meet our and our customers’ technology demands. Our suppliers may not be able or willing to invest the resources necessary to continue the (co-)development of the new technologies to the extent that such investments are necessary. This may result in ASML contributing funds to such R&D programs or limiting the R&D investments that we can undertake. Furthermore, if our R&D programs are not successful in developing the desired new technology on time or at all, we may be unsuccessful in introducing new products and unable to recoup our R&D investments. In light of the high levels of customer demand, we may prioritize our resources toward increasing production over R&D programs. | ||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 57 | ||||||||||||||||||
Risk factors (continued) |
We face intense competition | The semiconductor industry can be cyclical and we may be adversely affected by any downturn | We derive most of our revenues from the sale of a relatively small number of products | |||||||||||||||||||||||||||||||||||||||||||||
Risk category: | Competition | Risk category: | Industry cycle risk | Risk category: | Business model | ||||||||||||||||||||||||||||||||||||||||||
The semiconductor equipment industry is highly competitive. Our competitiveness depends upon our ability to develop new and enhanced lithography equipment, related applications and services that bring value to our customers and are competitively priced and introduced on a timely basis – as well as our ability to protect and defend our intellectual property, trade secrets or other proprietary information. We compete primarily with Canon and Nikon in respect of DUV systems. Both Canon and Nikon have substantial financial resources and broad patent portfolios. Each continues to offer products that compete directly with our DUV systems, which may impact our sales or business. In addition, adverse market conditions, long-term overcapacity or a decrease in the value of the Japanese yen in relation to the euro could further intensify price-based competition, resulting in lower prices and lower sales and margins. We also face competition from new competitors with substantial financial resources, as well as from competitors driven by the ambition of self-sufficiency in the geopolitical context. Furthermore, we face competition from alternative technological solutions or semiconductor manufacturing processes, particularly if we are unsuccessful in developing new EUV technology, products and product enhancements in a timely and cost-competitive manner. We also compete with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation. These applications effectively compete with our Applications offering, which is a significant part of our business. | The semiconductor industry has historically been cyclical. As a supplier to the global semiconductor industry, we are subject to the industry’s business cycles, and the timing, duration and volatility are difficult to predict and can have a significant impact on semiconductor manufacturers and therefore ASML. Newer entrants to the industry, including Chinese semiconductor manufacturers, could increase the risk of cyclicality in the future. Certain key end-market customers – Memory and Logic – exhibit different levels of cyclicality and different business cycles. Sales of our lithography systems, services and other holistic lithography products depend in large part upon the level of capital expenditures by semiconductor manufacturers. These in turn are influenced by industry cycles, the drive for technological sovereignty and a range of competitive and market factors, including semiconductor industry conditions and prospects. The timing and magnitude of capital expenditures of our customers also impact the available production capacity of the industry to produce chips, which can lead to imbalances in the supply and demand of chips. Reductions or delays in capital expenditures by our customers, or incorrect assumptions by us about our customers’ capital expenditures, could adversely impact our business. In addition, industry trends that are currently positively impacting our business, such as increasing capital expenditures by our customers, may not continue. | Our ability to maintain profitability in an industry downturn will depend substantially on whether we are able to lower our costs to break-even level. If sales decrease significantly as a result of an industry downturn and we are unable to adjust our costs over the same period, and if down payments need to be returned, our net income may decline significantly or we may suffer losses. As we have significantly increased our organization in terms of employees, infrastructure, manufacturing capacity and other areas, we may not be able to adjust our costs in the event of an industry downturn. In addition, we are facing a weakening of the global economy. Economic uncertainty frequently leads to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders. The tightening of credit markets, rising interest rates and concerns regarding the availability of credit could make it more difficult for our customers to raise capital, whether debt or equity, to finance their purchases of equipment, including the products we sell. Reduced demand, combined with delays in our customers’ ability to obtain financing (or the unavailability of such financing) may adversely affect our product sales and revenues and therefore may harm our business and operating results. If we are unable to timely and appropriately adapt to changes resulting from difficult macroeconomic conditions, our business, financial condition or results of operations may be materially and adversely affected. | We derive most of our revenues from the sale of a relatively small number of lithography systems (345 units in 2022 and 309 units in 2021). As a result, the timing of shipments, including any delays, and recognition of system sales for a particular reporting period from a small number of systems, with an increase in sales prices, may have a material adverse effect on our business, financial condition and results of operations in that period. In addition, we may not be able to increase installed base revenues to the extent we planned, as, for example, customers may perform more of these services themselves or find other third-party suppliers to provide them. | ||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 58 | ||||||||||||||||||
Risk factors (continued) |
Failure to adequately protect intellectual property, trade secrets or other proprietary information could harm our business | Defending against intellectual property claims brought by others could harm our business | |||||||||||||||||||||||||||||||||||||
Risk category: | Intellectual property rights | Risk category: | Intellectual property rights | |||||||||||||||||||||||||||||||||||
We rely on intellectual property (IP) rights such as patents and copyrights to protect our proprietary technology. However, we face the risk that such protective measures could prove to be inadequate, and we could suffer material harm because, among other matters: | In addition, legal proceedings may be necessary to enforce our IP rights and the validity and scope may be challenged by others. Any such proceedings may result in substantial costs and diversion of management resources, and, if unfavorable decisions are made, could result in significant costs or have a significant impact on our business. We have experienced and may in the future experience misappropriation attacks by third parties or our employees, including theft of intellectual property, trade secrets, or other proprietary or confidential information. For example, we have experienced unauthorized misappropriation of data relating to proprietary technology, as described under “Risk Factors – Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations”. As a result of such incidents, third parties or others have or may, without authorization, obtain, copy, use or disclose our intellectual property, trade secrets or other proprietary information despite our efforts to protect them. | In the course of our business, we have been in the past and are subject to claims by third parties alleging that our products or processes infringe upon their IP. If successful, such claims could limit or prohibit us from developing our technology, manufacturing and selling our products. In addition, our customers or suppliers may be subject to claims of infringement from third parties, including patent holder companies, alleging that our products used by such customers in the manufacturing of semiconductor products and/or the processes relating to the use of our products infringe on one or more patents issued to such third parties. If such claims are successful, we could be required to indemnify our customers for some or all of any losses incurred or damages assessed against them as a result of such infringement. | We also may incur substantial licensing or settlement costs to settle claims or to potentially strengthen or expand our intellectual property rights or limit our exposure to intellectual property claims of third parties. Patent litigation is complex and may extend for a protracted period of time, giving rise to the potential for both substantial costs and diverting the attention of key management and technical personnel. Potential adverse outcomes from patent litigation may include payment of significant monetary damages, injunctive relief prohibiting our manufacturing, exporting or selling of products, reputational damage and/or settlement involving significant costs to be paid by us. | |||||||||||||||||||||||||||||||||||
–IP laws may not sufficiently support our proprietary rights or may change adversely in the future; –Our agreements (e.g. confidentiality, licensing) with our customers, employees and technology development partners and others to protect our IP may not be sufficient or may be breached or terminated; –Patent rights may not be granted or interpreted as we expect; –Patent rights will expire, which may result in key technology becoming widely available that may harm our competitive position; –The steps we take to prevent misappropriation or infringement of our proprietary rights may not be successful; –IP rights and trade secrets are difficult to enforce in countries where the application and enforcement of the laws governing such rights may not have reached the same level compared with other jurisdictions where we operate; and –Third parties may be able to develop or obtain patents for our or similar competing technology. | ||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 59 | ||||||||||||||||||
Risk factors (continued) |
We are exposed to economic, geopolitical and other developments in our international operations | We may be unable to make desirable acquisitions or to integrate successfully any businesses we acquire | |||||||||||||||||||||||||||||||||||||
Risk category: | Political | Risk category: | Mergers & acquisitions | |||||||||||||||||||||||||||||||||||
Global trade issues and changes in and uncertainties with respect to multilateral and bilateral treaties and trade policies, and international trade disputes, trade sanctions, export controls, tariffs and similar regulations, impact our ability to deliver our systems, technology and services internationally. In particular, our ability to deliver technology in certain countries such as China has been and continues to be impacted by our ability to obtain required licenses and approvals. Our business involves the sale of systems and services to customers in a number of countries, including China, where our business has grown in recent years, and includes technologies that may be the subject of increased export regulations or policies. The US government has enacted trade measures, including national security regulations and restrictions on conducting business with certain Chinese entities, restricting our ability to provide certain products and services to such entities without a license. The list of Chinese entities impacted by trade restrictions, as well as the export regulation requirements and the implementation and enforcement of such regulations, has increased with the addition of certain entities to the Entity List, and more recently by the Additional Export Controls on Semiconductor Manufacturing Items imposing license requirements on US-origin parts and US persons destined toward fabs in China working on advanced technology nodes. The list of restricted customers is subject to change. | These and further developments in multilateral and bilateral treaties, national regulation, and trade, national security and investment policies and practices have affected and may further affect our business, and the businesses of our suppliers and customers. Such developments have impacted and continue to impact our ability to obtain necessary licenses (among others from the Dutch government), including authorizations for use of US technology and for employees producing and developing such technology. Such developments, including the drive for technological sovereignty, could also lead to long-term changes in global trade, competition and technology supply chains, which could adversely affect our business and growth prospects. Certain of our manufacturing facilities as well as our supply chain and customers are located in Taiwan. Customers in Taiwan represented 38.2% of our 2022 total net sales and 39.4% of our 2021 total net sales. Taiwan has a unique international political status. Changes in relations between Taiwan and the People’s Republic of China, Taiwanese government policies and other factors affecting Taiwan’s political, economic or social environment could, for example, impact our ability to service our customers in Taiwan, which could have a material adverse effect on our business, financial condition and results of operations. Furthermore, certain of our facilities as well as customers are located in South Korea. Customers in South Korea represented 28.6% of our 2022 total net sales and 33.4% of our 2021 total net sales. In addition, there are tensions with the Democratic People’s Republic of Korea (North Korea) which have existed since the division of the Korean Peninsula following World War II. A worsening of relations between those countries or the outbreak of war on the Korean Peninsula could have a material adverse effect on our business, financial condition or results of operations. | From time to time, we may acquire, or seek to acquire, businesses or technologies to complement, enhance or expand our current business or products or that might otherwise offer us growth opportunities. Any such acquisitions could lead to failure to achieve our financial or strategic objectives or our ability to perform as we plan or disrupt our ongoing business and adversely impact our results of operations. Furthermore, our ability to complete such transactions may be hindered by a number of factors, including potential difficulties in obtaining government approvals. Any acquisition that we make could pose risks related to the integration of the new business or technology with our business and organization. We cannot be certain that we will be able to achieve the benefits we expect from a particular acquisition investment. Such transactions may also strain our managerial and operational resources, as the challenge of managing new operations may divert our management from day-to-day operations. Furthermore, we may be unable to retain key personnel from acquired businesses or we may have difficulty integrating employees, business systems and technology. The controls, processes and procedures of acquired businesses may also not adequately ensure compliance with laws and regulations, and we may fail to identify compliance issues or liabilities. | In connection with acquisitions, antitrust and national security regulators have in the past and may in the future impose conditions on us, including requirements to divest assets or other conditions that could make it difficult for us to integrate the businesses that we acquire. Furthermore, we may have difficulty in obtaining or be unable to obtain antitrust and national-security clearances, which could inhibit future desired acquisitions. As a result of acquisitions, we have recorded a significant amount of goodwill and intangible assets. Accounting standards require periodic review of these assets for indicators of impairment. If one or more indicators of impairment are found to exist, then valuation of the related asset could change and may incur impairment charges. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 60 | ||||||||||||||||||
Risk factors (continued) |
We may not be able to achieve our Environmental, Social respond timely to emerging ESG expectations and regulations | |||||||||||||||||||||||||||||
Risk category: | Climate change, Product stewardship | ||||||||||||||||||||||||||||
Companies across all industries are facing increasing scrutiny relating to their ESG policies. Investors, capital providers, shareholder advocacy groups, other market participants, customers and other stakeholders are increasingly focused on ESG practices and, in recent years, have placed increasing importance on the implications and social cost of their investments. In particular, within the semiconductor industry, there is a focus on contribution to society and minimizing environmental and social impacts of products throughout all life-cycle stages. Failure to achieve our ESG objectives, meet the emerging ESG expectations of our stakeholders and/or timely respond to enhanced regulations and disclosure obligations could negatively affect our brand and reputation, which may impede our ability to compete as effectively to recruit or retain employees, which may adversely affect our operations. | Climate change contributes to increasing severity and frequency of extreme weather events, rising sea levels and droughts that can impact continuity of our operations and/or our supply chain. Climate change concerns and the potential environmental impact of climate change have resulted in and may result in new laws and regulations that may affect us, our suppliers and our customers. Such laws or regulations could cause us to incur additional direct costs for compliance, as well as increased indirect costs resulting from our value chain. Furthermore, the ability to improve our product-related environmental performance (such as energy efficiency) may be affected by the complexity of our technology and products. In order to meet our ESG goals and requirements in this regard, we are dependent on our suppliers and their ability to reduce their ecological footprints. In addition, we are dependent on our customers and/or our customers may not be satisfied with our progress, which can impact demand. | A global trend to transition to a lower-carbon economy has resulted in the imposition of increased regulations that could lead to technology restrictions, modification of product designs, an increase in energy prices and energy or carbon taxes, restrictions on pollution, required remediation measures or other requirements that could impact our business and increase our costs. A variety of regulatory developments have been introduced that focus on restricting or managing the emission of carbon dioxide and other greenhouse gases. This could result in a need to redesign products and/or purchase at higher costs new equipment or materials with lower carbon footprints. We publish disclosures on ESG matters relating to our business and our partners in compliance with applicable regulations and guidance and other data which may not be required but which we nonetheless elect to disclose. | Such disclosure includes statements based on our expectations and assumptions, involving forecasts about costs and future circumstances, which may prove to be incorrect. In addition, our ESG Sustainability strategy may not have the intended results, and our estimates concerning the timing and cost of implementing and ability to meet stated goals are subject to risks and uncertainties, which could result in us not meeting our goals on expected timing or at all or within expected costs. In addition, ESG disclosure requirements are increasing and authorities have proposed disclosure requirements on ESG matters which differ from the requirements that we are currently subject to, so we face risks in compliance with such regulations, including the risk of complying with requirements in different jurisdictions, costs associated with such compliance and potential liability in the event that our ESG disclosures prove incorrect. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 61 | ||||||||||||||||||
Risk factors (continued) |
2. Finance and reporting | |||||||||||||||||||||||||||||
We are exposed to financial risks, including liquidity risk, interest rate risk, credit risk, foreign exchange risk and inflation | |||||||||||||||||||||||||||||
Risk category: | Liquidity, Interest rate, Counterparty credit, Foreign exchange | ||||||||||||||||||||||||||||
We are a global company and are exposed to a variety of financial risks, including those related to liquidity, interest rate, credit, foreign exchange and inflation. Liquidity risk Negative developments in our business or global capital markets could affect our ability to meet our financial obligations or to raise or refinance debt in the capital or loan markets. In addition, we might be unable to repatriate cash from a country when needed for use elsewhere due to legal restrictions or required formalities. Interest rate risk Our Eurobonds bear interest at fixed rates. Our cash and investments as well as our revolving credit facility | bear interest at a floating rate. Failure to effectively hedge this risk could impact our financial condition and results of operation. In addition, we could experience an increase in borrowing costs due to a ratings downgrade (or the expectation of a downgrade), developments in capital and lending markets or developments in our businesses. | finance receivables at December 31, 2022, compared with €3,855.2 million, or 83.7%, at December 31, 2021. Accordingly, business failure or insolvency of one of our main customers could result in significant credit losses. | to the euro. We incur costs of sales predominantly in euros, with portions also denominated in US and Taiwanese dollars. A small portion of our operating results are driven by movements in currencies other than the euro, US dollar, Japanese yen, South Korean won, Taiwanese dollar or Chinese yuan. Inflation risk We are exposed to increases in costs due to inflation for costs of goods, transportation and wages, which may impact our profitability. We are currently experiencing higher-than-normal inflation, which impacts our costs and margins to the extent we are not able to pass on increased costs in our prices. | ||||||||||||||||||||||||||
Currency risk | |||||||||||||||||||||||||||||
Our Financial Statements are expressed in euros. Accordingly, our results of operations are exposed to fluctuations in exchange rates between the euro and other currencies. Changes in currency exchange rates can result in losses in our Financial Statements. We are particularly exposed to fluctuations in the exchange rates between the US dollar and the euro, and to a lesser extent to the Japanese yen, the South Korean won, the Taiwanese dollar and the Chinese yuan, in relation | |||||||||||||||||||||||||||||
Counterparty credit risk | |||||||||||||||||||||||||||||
We are exposed to credit risk in particular with respect to financial counterparties with whom we hold our cash and investments as well as our customers. As a result of our limited number of customers, credit risk on our receivables is concentrated. Our three largest customers (based on total net sales) accounted for €5,252.8 million, or 78.6%, of accounts receivable and | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 62 | ||||||||||||||||||
Risk factors (continued) |
3. Partners | |||||||||||||||||||||||||||||
Our success critical suppliers of single-source key components | |||||||||||||||||||||||||||||
Risk category: | Supply chain disruption, Supplier strategy and performance | ||||||||||||||||||||||||||||
We rely on outside vendors for components and subassemblies used in our systems, including the design thereof. These components and subassemblies are obtained from a single supplier or a limited number of suppliers. As our business has grown, our dependence on single suppliers or a limited number of suppliers has grown, because the highly specialized nature of many of our components, particularly for EUV including 0.55 NA systems, means it is not economical to source from more than one supplier. Our sourcing strategy therefore (in many cases) prescribes ‘single sourcing, dual competence’. Our reliance on a limited group of suppliers involves several risks, including a potential inability to obtain an adequate supply of required components or subassemblies in time and at acceptable costs, and reduced control over pricing and quality. Delays in supply of these components and subassemblies, which could occur for a variety of reasons, such as disruptions experienced by our suppliers, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockades, sabotage or other disasters, natural and otherwise, can lead to delays in delivery of our products which could impact our business. For example, certain of our suppliers experienced disruptions in their operations | as a result of chip and material shortages. A prolonged inability to obtain adequate deliveries of components or subassemblies, or any other circumstance that requires us to seek alternative sources of supply, could significantly hinder our ability to deliver our products in a timely manner, which could damage relationships with our customers and materially impact our business. The number of lithography systems we are able to produce may be limited by the production capacity of one of our key suppliers, Carl Zeiss SMT GmbH, which is our sole supplier of lenses, mirrors, illuminators, collectors and other critical optical components (which we refer to as optics). We have an exclusive arrangement with Carl Zeiss SMT GmbH, and if they are unable to maintain and increase production levels, we could be unable to fulfill orders, which could have a material impact on our business and damage relationships with our customers. If Carl Zeiss SMT GmbH were to terminate its supply relationship with us or be unable to maintain production of optics over a prolonged period, we would effectively cease to be able to conduct our business. | From time to time, we experience supply constraints which can impact our production, particularly during periods of high levels of demand such as those we have experienced in 2022 and continue to experience. In 2022, we were impacted by delays and shortages in our supply chain, resulting in a late start on the assembly of a number of systems. In addition, due to high demand, we reduced cycle time in our factory to ship more systems. We have achieved this through a fast shipment process that skips | In addition, most of our key suppliers, including Carl Zeiss SMT GmbH, have a limited number of manufacturing facilities, the disruption of which may significantly and adversely affect our production capacity. Lead times in obtaining components have increased as our products have become more complex. A failure by us to adequately predict demand for our systems or any delays in the shipment of components can result in insufficient supply of components, which can lead to delays in delivery of our systems and can limit our ability to react quickly to changing market conditions. Conversely, a failure to predict demand could lead to excess and obsolete inventory. We are also dependent on suppliers to develop new models and products and to meet our development roadmaps. If our suppliers do not meet our requirements or timetable in product development, our business could suffer. | ||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 63 | ||||||||||||||||||
Risk factors (continued) |
4. People | ||||||||||||||||||||||||||||||||||||||
A high percentage of net sales is derived from a few customers | Our business and future success depend on our ability to manage the growth of our organization and attract and retain a sufficient number of adequately educated and skilled employees | |||||||||||||||||||||||||||||||||||||
Risk category: | Customer dependency | Risk category: | Human resources, Knowledge management, Organizational effectiveness | |||||||||||||||||||||||||||||||||||
Historically, we have sold a substantial number of lithography systems to a limited number of customers. Customer concentration can increase because of continuing consolidation in the semiconductor manufacturing industry. In addition, although the applications part of our holistic lithography solutions constitutes an increasing portion of our revenue, a significant portion of those customers are the same customers as those for our systems. Consequently, while the identity of our largest customers may vary from year to year, sales may remain concentrated among relatively few customers in any particular year. The recognized total net sales to our largest customer amounted to €7,046.9 million, or 33.3% of total net sales in 2022, compared with €6,881.1 million, or 37.0% of total net sales in 2021. In 2022, 55.8% of total net sales were made to two customers. The loss of any significant customer or any significant reduction or delay in orders by such a customer may have a material adverse effect on our business, financial condition and results of operations. | Our business and future success depends significantly upon our ability to attract and retain employees, including a large number of highly qualified professionals. Competition for such personnel is intense and has intensified in the last year. Despite our ability to grow our employee base significantly, attracting sufficient numbers of qualified employees to meet our growing needs will remain a challenge. This risk of not being able to attract, onboard and retain qualified personnel increases as our business grows. Our R&D programs require a large number of qualified employees. If we are unable to attract sufficient numbers of such employees, this could affect our ability to conduct our R&D on a timely basis. Also, the loss of key employees for unexpected reasons such as resignation or long-term illness is a risk. | Moreover, as a result of the uniqueness and complexity of our technology, qualified engineers capable of working on our systems are scarce and generally not available from other industries or companies. As a result, we have to educate and train our employees to work on our systems. Retention of those key employees is a critical success factor for us. Furthermore, the increasing complexity of our products results in a longer learning curve for new and existing employees and suppliers, leading to an inability to decrease cycle times, and may result in significant additional costs. Our suppliers face similar risks in attracting and retaining qualified employees, including those in connection with programs that will support our R&D programs and technology developments. If our suppliers are unable to attract and retain qualified employees, this could impact our R&D programs or deliveries of components to us. | In recent years, our organization has grown significantly. We may be unable to effectively manage, monitor and control our employees, facilities, operations and other resources. Our rapid growth in recent years, driven by strong customer demand, puts pressure on our organization and employees, which can negatively impact employee well-being. This may in turn negatively impact the efficiency of our operations, our ability to ensure compliance with laws and regulations as well as our reputation as an employer. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 64 | ||||||||||||||||||
Risk factors (continued) |
5. Operations | ||||||||||||||||||||||||||||||||||||||
We may face challenges in managing the industrialization of our products and bringing them to high-volume production | We are dependent on the continued operation of a limited number of manufacturing facilities | |||||||||||||||||||||||||||||||||||||
Risk category: | Product industrialization | Risk category: | Continuity of own operation | |||||||||||||||||||||||||||||||||||
Bringing our products to high-volume production at a value-based price and in a cost-effective manner depends on our ability to manage the industrialization of our products and to manage costs. Customer adoption of our products depends on the performance of our products in the field. As our products become more complex, we face an increasing risk that products Transitioning our newly developed products to full-scale production requires the expansion of our infrastructure, including enhancing our manufacturing capabilities, increasing the supply of components and training qualified personnel. It may also require our suppliers to expand their infrastructure capabilities. If we or our suppliers are unable to expand infrastructure as necessary, we may be unable to introduce new technologies, products or product enhancements or reach high-volume production of newly developed products on a timely basis or at all. | In addition, when we are successful in industrializing new products, it can take years to reach profitable margins, as was the case for EUV 0.33 NA. New technologies might not have the same margins as existing technologies, and we might not be able to adjust value-based pricing and/or cost in an effective manner. In addition, the introduction of new technologies, products or product enhancements also impacts ASML’s liquidity, as new products may have higher cycle times, resulting in increased working capital needs. This impact on liquidity increases as our products become more complex and expensive. The capability, capacity and costs associated with providing the required customer support function to cover the increasing number of shipments and service a growing number of EUV systems that are operational in the field could affect the timing of shipments. It could also impact the efficient execution of maintenance, servicing and upgrades, which is key to our systems continuing to achieve the required productivity. | All of our manufacturing activities, including subassembly, final assembly and system testing, take place in cleanroom facilities in Veldhoven (the Netherlands), Berlin (Germany), Wilton, San Diego (US), Pyeongtaek (South Korea), and Linkou and Tainan (Taiwan). These facilities may be subject to disruption for a variety of reasons, including work stoppages, fire, energy shortages, pandemic outbreaks, flooding, cyberattacks, blockages, sabotage or other disasters, natural and otherwise. We cannot ensure that alternative production capacity would be available if a major disruption were to occur. In 2022, we experienced a fire in our Berlin operations which required significant recovery efforts to secure our operations. | As our organization grows, we are not able to fully insure our risk exposure. In addition, not all disasters are insurable. As we are unable to duly insure against potential losses, we are subject to the financial impact of uninsured losses, which can have an adverse impact on our financial condition and results of operation. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 65 | ||||||||||||||||||
Risk factors (continued) |
We face challenges to meet demand | The nature of our operations exposes us to health, safety and environment risks | |||||||||||||||||||||||||||||||||||||
Risk category: | Manufacturing and install, Human resources, Supplier strategy and performance | Risk category: | ||||||||||||||||||||||||||||||||||||
We have in recent years and are continuing to experience increasing demand across all our market segments and product portfolio because our systems play critical roles in meeting end-market demand. This high level of demand brings challenges. We have been and are continuing to increase production capacity in our end-to-end supply chain to meet this demand, but we face challenges in increasing capacity. For example, in order to increase our capacity, we depend on our suppliers increasing their capacity, and it takes time to build the production space and equipment required for expansion. We and our supply chain also need to obtain permits to make expansion possible; these may not be (timely) granted. It is a challenge for ASML and our suppliers to hire and retain more employees in the current competitive labor market. Our processes and systems may not be able to adequately support our growth. In addition, our end-to-end supply chain is facing a shortage of materials which is hampering our growth. | If we are not successful in increasing our capacity to meet demand, this could impact our relationships with customers and our competitive position. The increased demand and resultant supply constraints that we are continuing to experience lead to longer lead times for customers which could result in customers changing their sourcing strategy to become less dependent on ASML, which impacts our market share in certain product offerings. Where we are able to increase our capacity, we are subject to increased risk of a downturn, as it becomes more difficult for us to reduce costs in the event of an industry downturn. | Hazardous substances are used in the production and operation of our products and systems, which subjects us to a variety of governmental regulations relating to environmental protection and employee and product health and safety. This includes the transport, use, storage, discharge, handling, emission, generation, and disposal of toxic or other hazardous substances. In addition, operating our systems (which use lasers and other potentially hazardous systems) can be dangerous and can result in injury. The failure to comply with current or future regulations could result in substantial fines being imposed on us, suspension of production, alteration of our manufacturing and assembly and test processes, damage to our reputation and/or restrictions on our operations or sale or other adverse consequences. | Additionally, our products have become increasingly complex. This requires us to invest in continued risk assessments and development of appropriate preventative and protective measures for health and safety for both our employees (in connection with the production and installation of our systems and field options and performance of our services) and our customers’ employees (in connection with the operation of our systems). Our health and safety practices may not be effective in mitigating all health and safety risks. Failure to comply with applicable regulations or the failure of our implemented practices for customer and employee health and safety could subject us to significant liabilities. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 66 | ||||||||||||||||||
Risk factors (continued) |
Cybersecurity and other security incidents, or other disruptions in our processes or information technology systems, could materially adversely affect our business operations | |||||||||||||||||||||||||||||
Risk category: | Security, Information technology, Process effectiveness and efficiency | ||||||||||||||||||||||||||||
We rely on the accuracy, availability and security of our information technology (IT) systems. Despite the measures that we have implemented, including those related to cybersecurity, our systems could be breached or damaged by computer viruses and systems attacks, natural or man-made incidents, disasters or unauthorized physical or electronic access, and we have experienced some of these incidents. We are experiencing an increasing number of cyberattacks on our IT systems as well as the IT systems of our suppliers, customers and other service providers, whose systems we do not control. These attacks include malicious software (malware), attempts and acts to gain unauthorized access to data and other electronic and physical security breaches of our IT systems. They also include the IT systems of our suppliers, customers and other service providers that have led and could lead, for us, our customers, suppliers or other business partners – including R&D partners – to disruptions in critical systems, unauthorized release, misappropriation, corruption or loss of data or confidential information (including confidential information relating to our customers, employees and suppliers). Further, we depend on our employees and the employees of our suppliers to appropriately handle confidential and sensitive data and deploy our IT resources in a safe and secure manner that does not expose our network systems to security breaches or the loss of data. | Inadvertent disclosure or actions or malfeasance by our employees, those of our suppliers or other third parties have resulted and may in the future result in a loss or misappropriation of data or a breach or interruption of our IT systems, and could result in competitive harm and violate export controls and other laws and regulations which could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny or export control measures. We have experienced unauthorized misappropriation of data relating to proprietary technology by a (now) former employee in China. We promptly initiated a comprehensive internal review. Based upon our initial findings we do not believe that the misappropriation is material to our business. However, as a result of the security incident, certain export control regulations may have been violated. ASML has therefore reported the incident to relevant authorities. We are implementing additional remedial measures in light of this incident. In addition, any system failure, accident or security breach could result in business disruption, theft of our intellectual property or trade secrets (including our proprietary technology), unauthorized access to, or disclosure of, customer, personnel, supplier or other confidential information, corruption of our data or of our systems, reputational damage or litigation and violation of applicable laws. | Furthermore, computer viruses or other malware may harm our systems and software and could be inadvertently transmitted to our customers’ systems and operations, which could result in loss of customers, litigation, regulatory investigation and proceedings that could expose us to civil or criminal liabilities and diversion of significant management attention and resources to remedy the damages that result. We may also be required to incur significant costs to protect against or repair the damage caused by these disruptions or security breaches, including, for example, rebuilding internal systems, implementing additional threat protection measures, providing modifications to our products and services, defending against litigation, responding to regulatory inquiries or actions, paying damages, or taking other remedial steps with respect to third parties. Further, remediation efforts may not be successful and could result in interruptions, delays or cessation of service, unfavorable publicity, damage to our reputation, customer allegations of breach-of-contract, possible litigation and loss of existing or potential customers that may impede our sales or other critical functions. Cybersecurity threats are constantly evolving. We remain potentially vulnerable to additional known or as yet unknown threats, as in some instances, we, our customers, partners and our suppliers may be unaware of an incident or its magnitude and effects. | We also face the risk that we could unintentionally expose our customers to cybersecurity attacks through the systems we deliver to them, including in the form of malware or other types of attacks, as described above, which could harm our customers. Furthermore, we have increased the level of remote working within our organization, which increases the risks of cybersecurity incidents. ASML’s visibility and importance for the semiconductor industry continues to increase. There is a risk that this may lead to actions that may adversely impact the security of ASML or the safety of its employees. In addition, processes and systems may not be able to adequately support the growth that we have experienced in recent years and continue to experience. From time to time, we implement updates to our IT systems and software, which can disrupt or shut down our IT systems. We may not be able to successfully launch and integrate these new systems as planned without disruption to our operations. For example, we are currently implementing a new ERP system and infrastructure. As a result of this system implementation or otherwise, we have and could continue to experience disruptions in our operations. | ||||||||||||||||||||||||||
Read more in:Governance - Responsible business - Information security. | |||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 67 | ||||||||||||||||||
Risk factors (continued) |
6. Legal and compliance | ||||||||||||||||||||||||||||||||||||||
We are subject to increasingly complex regulatory and compliance obligations | Changes in taxation could affect our future profitability | |||||||||||||||||||||||||||||||||||||
Risk category: | Violation of laws and regulations | Risk category: | Violation of laws and regulations | |||||||||||||||||||||||||||||||||||
In recent years, our business has grown significantly in terms of sales, operations, employees and our business infrastructure. As a result, compliance with laws and regulations, including with as well as our internal policies and standards, such as without limitation, the ASML Code of Conduct, has become more complex. Furthermore, as we operate in different countries in the world, we have become increasingly subject to compliance with additional laws and regulations in such jurisdictions, including but not limited to export control, anti-corruption, anti-bribery, antitrust and ESG regulations, which can be complex. We may also be subject to investigations, audits and reviews by authorities in such jurisdictions regarding compliance with laws and regulations, including tax laws. | In addition, the existing laws and regulations that we are subject to, including regulations relating but not limited to trade, national security, tax, export controls, reporting, product compliance, anti-corruption laws, antitrust, human rights, data protection, spatial planning and environmental laws, are becoming more complex and the trade and national security environment has resulted in increasing restrictions. Trade and security regulations limit our ability to sell our products and services in certain jurisdictions and we face the risk of further restrictions. We have experienced delays in permits for shipments as well as restrictions on shipping certain products or components to certain customers. Such changes in the regulations that apply to our business can increase compliance costs and the risk of non-compliance. Non-compliance could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny measures. Furthermore, additional regulations could impact or limit our ability to sell our products and services in certain jurisdictions. | We are subject to income taxes in the Netherlands and the other countries in which we are active. Our effective tax rate has fluctuated in the past and may fluctuate in the future. Changes in our business environment can affect our effective tax rate. The same applies to changes in tax legislation in the countries where we operate, together with developments driven by global organizations such as the OECD, as well as any change in approach to tax by tax authorities. All these initiatives have already resulted in and may result in further increased compliance obligations for ASML. Additionally, this may result in an increase in our effective tax rate in future years. | Changes in tax legislation in jurisdictions where we operate may adversely impact our tax position and consequently our net income. Our worldwide effective tax rate is heavily impacted by R&D incentives included in tax laws and regulations in the countries where we operate. Examples include the so-called innovation box in the Netherlands and the foreign derived intangible income deduction/R&D credits we obtain in the US. If jurisdictions alter their tax policies/laws in this respect, it may have an adverse effect on our worldwide effective tax rate. In addition, jurisdictions levy corporate income tax at different rates. The mix of our sales over the various jurisdictions in which we operate may vary from year to year, resulting in a different mix of corporate income tax rates applicable to our profits, which can also affect our worldwide effective tax rate and impact our net income. | |||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | RISK CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 68 | ||||||||||||||||||
Risk factors (continued) |
7. Other risk factors | ||||||||||||||||||||||||||||||||||||||||||||
COVID-19 or other pandemics may impact our operations | Restrictions on shareholder rights may dilute voting power | We may not declare cash dividends, conduct share buyback programs or cancel shares at all or in any particular amounts in any given year | We may be impacted by the Russia–Ukraine conflict | |||||||||||||||||||||||||||||||||||||||||
The COVID-19 pandemic and the measures implemented to address this pandemic globally may continue to impact our business, our suppliers and our customers. Pandemics can have significant impact on the global economy, which can potentially affect our end markets. The COVID-19 pandemic has increased the level of remote working within our organization, which impacts productivity and may delay our roadmap, increase the risks of cybersecurity incidents and/or impact our control environment. In addition, as we are dependent on our suppliers, disruptions to their operations as a result of the COVID-19 pandemic impact us and our ability to produce, deliver and service tools. Market demand for semiconductors and therefore our products and services can also be impacted by the COVID-19 pandemic and measures taken to address it. Further, an important part of our business involves installing and servicing tools at customer premises around the globe, and this could be impacted by travel restrictions and vaccination requirements. There is uncertainty as to how the COVID-19 pandemic could develop and the impact on global GDP, end markets and our manufacturing capability and supply chain. The impact of the pandemic on ASML will depend on future developments, including the continued severity of the pandemic, and the actions of the Dutch and other foreign governments to contain outbreaks or address their impact, which are outside of our control. | Our Articles of Association provide that we are subject to the provisions of Dutch law applicable to large corporations, called ‘structuurregime’. These provisions have the effect of concentrating control over certain corporate decisions and transactions in the hands of our Supervisory Board. As a result, holders of ordinary shares may have more difficulty in protecting their interests in the face of actions by members of our Supervisory Board than if we were not subject to the ‘structuurregime’. Our authorized share capital also includes a class of cumulative preference shares, and we have granted Stichting Preferente Aandelen ASML, a Dutch foundation, an option to acquire, at the nominal value of €0.09 per share, such cumulative preference shares. Exercise of the Preference Share Option would effectively dilute the voting power of our outstanding ordinary shares by one-half, which may discourage or significantly impede a third party from acquiring a majority of our voting shares. | We aim to pay a quarterly dividend that is growing (on an annualized basis) over time, and we conduct share buybacks from time to time. The dividend proposal, amount of share buybacks and cancellation of shares in any given year will be subject to the availability of distributable profits, retained earnings and cash, and may be affected by, among other factors, the Board of Management’s views on our potential future liquidity requirements, including for investments in production capacity and working capital requirements, the funding of our R&D programs and for acquisition opportunities that may arise from time to time, and by future changes in applicable income tax and corporate laws. The Board of Management may decide to propose not to pay a dividend or to pay a lower dividend and may suspend, adjust the amount of or discontinue share buyback programs, or we may otherwise fail to complete buyback programs. | Although we do not currently have operations in Russia or Ukraine, the impact of the military action in Ukraine creates uncertainty in the macroeconomic environment. This military action, including sanctions and other measures taken in response, have and could further adversely affect the global economy, the financial markets and supply chain, which therefore may impact customer demand, delivery of products and services to clients, as well as our ability and the ability of our supply chain to obtain parts, components and gas supply. In addition, the conflict amplifies the surge in energy prices, commodity prices, transportation costs, inflation and cyberattacks. | |||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 69 |
VIRTUAL AND AUGMENTED REALITY | |||||||||||
Virtual reality, unreal opportunities | |||||||||||
There’s more to virtual reality (VR) and augmented reality (AR) than gaming. At ASML, these technologies are helping us design, build and maintain some of the world’s most complex machines. Through VR and AR, our teams are able to manipulate designs and learn how to maintain systems – in some cases, many years before the machines themselves physically exist. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 70 | |||||||||||||||
ESG at a glance | ||||||||||||||||||||
We aim to be a leader in sustainability, and to continue driving progress toward inclusive and sustainable growth for all. |
Our vision | Our contribution to a digital, sustainable future | |||||||||||||||||||||||||
We want to contribute to expanding computing power but with minimal waste, energy use and emissions. That's why we focus on energy efficiency, climate action and circular economy. | ||||||||||||||||||||||||||
Our vision at ASML is to enable ground-breaking technology that solves some of humanity’s toughest challenges. | ||||||||||||||||||||||||||
We want to ensure that responsible growth benefits all our stakeholders – to have an attractive workplace for all and a responsible supply chain, to fuel innovation in our ecosystem and to be a valued partner in our communities. | ||||||||||||||||||||||||||
We commit to act on our responsibilities and fully anchor them in the way we do business through our focus on integrated governance, engaged stakeholders and transparent reporting. | ||||||||||||||||||||||||||
How we report on our ESG progress | ||||||||||||||||||||||||||||||||||||||
SDGs we align with | ESG Sustainability chapters | |||||||||||||||||||||||||||||||||||||
Environmental | –Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||||||||||||||||||||||
–Circular economy | Read more on page 85 > | |||||||||||||||||||||||||||||||||||||
Social | –Attractive workplace for all | Read more on page 97 > | ||||||||||||||||||||||||||||||||||||
–Our supply chain | Read more on page 109 > | |||||||||||||||||||||||||||||||||||||
–Innovation ecosystem | Read more on page 118 > | |||||||||||||||||||||||||||||||||||||
–Valued partner in our communities | Read more on page 124 > | |||||||||||||||||||||||||||||||||||||
Governance | –Managing ESG sustainability | Read more on page 134 > | ||||||||||||||||||||||||||||||||||||
–Responsible business | Read more on page 135 > | |||||||||||||||||||||||||||||||||||||
–Our approach to tax | Read more on page 147 > | |||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 71 | |||||||||||||||
Our material ESG sustainability topics |
Step 1: Understand the context | Step 2: Identify impacts | Step 3: Assess the significance of the impacts | Step 4: Prioritize the most significant impacts | ||||||||||||||||||||||||||||||||||||||||||||||||||
List of topics, positive and negative, actual and potential, short and long-term impacts | Positive and negative against their scale, scope and remediability | Most material topics influence strategy and long-term targets | |||||||||||||||||||||||||||||||||||||||||||||||||||
Shareholders | Customers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Employees | Suppliers | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Society | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Key changes in the sustainability topics list from 2021 to 2022 (Step 2: Identify impacts) | ||||||||||||||||||||
2022 topics | 2021 topics | |||||||||||||||||||
Environmental | –Circular economy | –Waste management –Circular economy: Re-use –Circular economy: Recycling | ||||||||||||||||||
Environmental | –Energy management and carbon footprint: Supply chain –Energy management and carbon footprint: Operations | –Energy management operations | ||||||||||||||||||
–Energy management and carbon footprint: Product use and downstream | –Energy management products | |||||||||||||||||||
Environmental | –Biodiversity | (none) | ||||||||||||||||||
Social | –Innovation ecosystem | –IP protection –Innovations management –Innovation partnership | ||||||||||||||||||
Social | –Talent attraction, employee engagement and retention | –Talent attraction and retention –Employee engagement | ||||||||||||||||||
Social | –Responsible supply chain and product stewardship | –Responsible supply chain –Product stewardship | ||||||||||||||||||
Social | –Diversity and inclusion –Occupation health and safety –Responsible supply chain and product stewardship | –Human rights | ||||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 72 | |||||||||||||||
Our material ESG sustainability topics (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 73 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Material topics 20221 | |||||||||||||||||||||||
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Energy management and carbon footprint – Product use and downstream | a) Energy-efficiency products (EUV, DUV) b) Energy consumption (EUV, DUV) c) Scope 3 downstream emissions | Negative | Actual | Downstream customers and society | |||||||||||||||||||
Energy management and carbon footprint – Supply chain | a) Energy management supply chain b) Scope 3 upstream emissions | Negative | Actual | Upstream suppliers and partners | |||||||||||||||||||
Energy management and carbon footprint – Operations | a) Energy use within and management of own buildings and factories b) Reduction of energy consumption c) Use of renewable energy for our operations d) Resulting scope 1 and 2 GHG emissions | Negative | Actual | Own operations | |||||||||||||||||||
Circular economy | a) Waste generated through operations (e.g. waste from parts, packaging, construction, hazardous waste and other waste directed to disposal) b) Use of non-renewable materials and resources | Negative | Actual | Entire value chain | |||||||||||||||||||
c) Use of renewable materials and resources d) Measure to reduce and manage waste from operations (e.g. recycling, re-use and waste diverted from disposal) e) Measure to reduce the use of materials and move to circulation of products and material | Positive | Actual | Entire value chain | ||||||||||||||||||||
Diversity and inclusion | a) Workforce gender diversity b) Diversity of governance bodies c) Workforce inclusiveness d) Pay equality, i.e. the ratio of basic salary and remuneration of women to men e) Diversity (age, gender, cultural background, etc.) of new hires, promotions and turnover | Positive | Actual | Own operations | |||||||||||||||||||
Talent attraction, employee engagement and retention | a) New employee hires and employee turnover b) Working conditions, including working time, rest periods, holidays, dismissal practices, maternity protection, support for collective bargaining to determine wages, etc. c) Remuneration practices, including how these relate to legal and industry minimums, whether they enable employees to meet their basic needs, how overtime is compensated, etc. d) Other benefits, including life insurance, healthcare, disability and invalidity coverage, parental leave, retirement provision, etc. | Positive | Actual | Own operations | |||||||||||||||||||
Occupational health and safety | a) Work-related injuries, ill health and well-being b) Work-related hazards and risks, including the identification, assessment and measures taken to manage these risks c) Safety culture, including worker participation, consultation, communication and training on occupational health and safety | Negative | Potential | Own operations | |||||||||||||||||||
Responsible supply chain and product stewardship | a) Social impacts (e.g. health and safety, working conditions, child labor, etc.) in the supply chain and actions taken b) Environmental impacts (e.g. pollution, water use, etc.) in the supply chain and actions taken c) Supplier ESG standards and screening d) Supplier ESG performance e) Impact on environmental and social aspects in the supply chain from product design and engineering | Negative | Potential | Upstream suppliers and partners |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL, SOCIAL AND GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 74 | |||||||||||||||
Our material ESG sustainability topics (continued) |
Topic name | Topic definition (impacts covered) | Positive or negative impact | Actual or potential impact | Impact area value chain | |||||||||||||||||||
Innovation ecosystem | a) Innovation partnerships b) Innovation pipeline c) In-kind support startups and scaleups d) EU public-private R&D innovation projects e) Knowledge management | Positive | Actual | Entire value chain | |||||||||||||||||||
Community engagement | a) Local community impacts, including housing, talent pipeline (region), mobility and infrastructure, social cohesion, neighbor (local) impact | Negative | Actual | Own operations | |||||||||||||||||||
b) Local community impacts, including economic growth, local tax contribution and job creation c) Philanthropy, including local community engagement and development programs | Positive | Actual | Own operations |
We believe that increasing digitalization opens the way to a society that is more environmentally and socially sustainable. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 75 | |||||||||||||||
Environmental at a glance | ||||||||||||||||||||
We are committed to reducing our environmental footprint both from our operations and the use of our products and services. |
What we do | ||||||||
We develop lithography technology that enables manufacturers to make more energy-efficient microchips. Reducing our environmental footprint and managing our waste – both from our operations and in the use of our products and services – is key to our ESG practices. | ||||||||
Our aims | ||||||||
As the world continues to increase its dependence on technology to solve some of its most pressing challenges, our role is to help make this happen by expanding the availability of the necessary computing power. Our ambition is to achieve carbon neutrality with net zero emissions in our operations (scope 1 and 2) by 2025. We aim to achieve net zero emissions in our supply chain (scope 3) by 2030, and net zero emissions from the use of our products by our customers (scope 3) by 2040. In addition, our goal is to have zero waste from operations to landfill or incineration by 2030. We focus on energy efficiency – not only in our business but also by addressing the amount of energy that semiconductors require in operation. We are also working hard to manage our own waste streams and improve the circularity of our value chain. Our actions are closely aligned to two SDGs in particular – SDG 13 (Energy efficiency and climate action) and SDG 12 (Circular economy). | ||||||||
Energy efficiency and climate action | Read more on page 76 > | ||||||||||||||||
SDG 13 Take urgent action to combat climate change and its impacts by regulating emissions and promoting developments in renewable energy | –Energy management and carbon footprint: Operations (Scope 1 and 2) –Energy management and carbon footprint: Supply chain, business travel and commuting (Scope 3) –Energy management and carbon footprint: Product use at our customers (Scope 3) | ||||||||||||||||
Circular economy | Read more on page 85 > | ||||||||||||||||
SDG 12 | –Reduce waste in our operations –Re-use parts and materials –Refurbish mature products –Water management | ||||||||||||||||
Ensure sustainable consumption and production patterns | |||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 76 | |||||||||||||||
Energy efficiency and climate action | ||||||||||||||||||||
We are committed to lowering our carbon footprint wherever we can to achieve net zero emissions across our operations and in our supply chain. As well as increasing the productivity of our products, we are also working toward reducing their absolute energy consumption. |
38.1 kt | 1.11 kt | |||||||||||||
Scope 1 and 2 CO2e emissions (2025 target: net zero) | Scope 3 CO2e emissions intensity (per €m gross profit) (2025 target: 1.02) | |||||||||||||
0.56 kt | 11.9 Mt | |||||||||||||
Net scope 3 CO2eemissions intensity (per €m revenue) | Scope 3 CO2e emissions (2040 target: net zero) | |||||||||||||
8.27 kWh | ||||||||||||||
NXE energy use per exposed wafer pass (NXE:3600D, measured in 2021) (2025 target: 5.1 kWh) |
Energy efficiency and climate action | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 13.1 | ||||||||||||||
Strengthen resilience and adaptive capacity to climate-related hazards and natural disasters in all countries | –Scope 1 and 2 CO2e emissions –Scope 3 CO2e emissions intensity (per €m gross profit) –Net scope 3 CO2eemissions intensity (per €m revenue) –Scope 3 CO2e emissions –NXE energy use per exposed wafer pass | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 77 | |||||||||||||||
Energy efficiency and climate action (continued) |
The following diagram illustrates our journey to net zero emissions in our value chain: |
Our journey to net zero emissions in our value chain | |||||||||||
Our goal is to achieve the following milestones in our journey toward net zero emissions in our value chain by 2040, for each of our impact areas: –2025: Net zero scope 1+2 emissions –2025: Net zero scope 3 emissions from business travel and commuting –2030: Collaborating with our suppliers, reduce net scope 3 upstream emissions to zero –2040: Collaborating with our customers and peers, reduce net scope 3 emissions from product use to zero Our approach to achieving net zero emissions is based on four pillars: 1.Analyzing energy use and greenhouse gas (GHG) emissions to learn about improvement options 2.Innovating in energy efficiency, and redesigning our assets, products and processes to minimize environmental impact | |||||||||||
3.Aiming to lead on the shift toward 100% credible, renewable energy | ||
4.Compensating residual emissions to achieve our targets if no reasonable other improvement actions are available | ||
We recognize that we cannot do any of this alone, which is why we collaborate closely with our employees, suppliers, customers, peers and society. We identify and assess the impact of climate-related risks and opportunities using the assessment guidelines of the Task Force on Climate-related Financial Disclosures (TCFD). | ||
Read more in: | ||
Our TCFD Recommendations: climate-related disclosure, available on www.asml.com. | ||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 78 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Climate action | Net zero | Scope 1 – Direct emissions from fossil fuels in our operations (kton) | 15.4 | 19.3 | 17.3 | • | ||||||||||||||||||||||||||
Net zero | Scope 2 – Indirect emissions from energy consumption (kton) [market-based]2 | 0.0 | 20.1 | 20.8 | • | |||||||||||||||||||||||||||
Net zero (2040) | Scope 3 – Indirect emissions from total value chain (kton) | 8,800.0 | 11,400.0 | 11,900.0 | • | |||||||||||||||||||||||||||
Total footprint (in kton)1 | 8,815.4 | 11,439.4 | 11,938.1 | |||||||||||||||||||||||||||||
n/a | Scope 3 CO2e emissions intensity (per €m revenue) | 0.63 | 0.61 | 0.56 | n/a | |||||||||||||||||||||||||||
1.02 | Scope 3 CO2e emissions intensity (per €m gross profit) | 1.29 | 1.16 | 1.11 | • | |||||||||||||||||||||||||||
n/a | Reduction in GHG emissions from projects (kton) | n/a | n/a | 2.6 | n/a | |||||||||||||||||||||||||||
Energy efficiency | 5.1 | Products – NXE energy use per wafer (in kWh) | 9.64 (NXE:3400C) | 8.27 (NXE:3600D) | 8.27 (NXE:3600D) | • | ||||||||||||||||||||||||||
n/a | Products – NXT energy use per wafer (in kWh) | 0.45 (NXT:2050i) | 0.48 (NXT:1980Ei) | 0.46 NXT:2100i | n/a | |||||||||||||||||||||||||||
n/a | Energy consumption (in TJ) | 1,412 | 1,689 | 1,633 | n/a | |||||||||||||||||||||||||||
100 TJ | Energy savings worldwide through projects (in TJ)3 | 113.9 | 12.7 | 19.0 | • | |||||||||||||||||||||||||||
100% | Renewable electricity (of total electricity purchased) | 100 | % | 92 | % | 91 | % | • | ||||||||||||||||||||||||
(10)% | Energy consumption (NXE) (reduction in % of baseline 2018 1.4 MW) | (6)% (NXE:3400C) | (6)% (NXE:3600D) | (6)% (NXE:3600D) | • | |||||||||||||||||||||||||||
n/a | Throughput (in wph) (NXE) | 136 (NXE:3400C) | 160 (NXE:3600D) | 160 (NXE:3600D) | n/a | |||||||||||||||||||||||||||
(60)% | Energy use per exposed wafer pass (NXE) (reduction in % of baseline 2018) | (26)% (NXE:3400C) | (37)% (NXE:3600D) | (37)% (NXE:3600D) | • |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 79 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Operations (scope 1 and 2) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 80 | |||||||||||||||
Energy efficiency and climate action (continued) |
The table below includes six key projects that support the masterplan and will help to realize savings between 2021 and 2025: | ||||||||||||||||||||
Key projects | Location | Total estimated energy saving – annual (TJ) | Estimated natural gas reduction (TJ) | Estimated electricity reduction (TJ) | ||||||||||||||||
Energy grid | Veldhoven | 50 | 40 | 10 | ||||||||||||||||
Implement adiabatic humidification and elimination of steam generation | Veldhoven | 12 | 12 | 0 | ||||||||||||||||
Renewable energy generation (solar panels) | Veldhoven | 3 | 0 | 3 | ||||||||||||||||
Onsite renewable electricity generation (solar panels) | San Diego | 8 | 0 | 8 | ||||||||||||||||
Replacement of chillers | Wilton | 3 | 0 | 3 | ||||||||||||||||
HVAC energy consumption and improving (set points) | Taiwan | 3 | 0 | 3 | ||||||||||||||||
Total | 79 | 52 | 27 | |||||||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 81 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Supply chain, business travel and commuting (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 82 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Energy management and carbon footprint: Product use at our customers (scope 3) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 83 | |||||||||||||||
Energy efficiency and climate action (continued) |
Platform1 | DUV immersion | |||||||||||||||||||||||||
System type | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980Ei | NXT:1960Bi + PEP-B | NXT:2100i | ||||||||||||||||||||
Year of energy measurement | 2015 | 2017 | 2020 | 2021 | 2021 | 2022 | ||||||||||||||||||||
Energy consumption (in MW) | 0.14 MW | 0.14 MW | 0.13 MW | 0.14 MW | 0.13 MW | 0.14 MW | ||||||||||||||||||||
Throughput (wph) | 275 | 275 | 295 | 295 | 250 | 295 | ||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 0.51 kWh | 0.51 kWh | 0.45 kWh | 0.48 kWh | 0.51 kWh | 0.46 kWh | ||||||||||||||||||||
Platform1 | DUV Dry | YieldStar | ||||||||||||||||||||||||||||||
System type | XT:860M | XT:1460 | NXT:1470 | XT:860N | NXT:870 | YS350E | YS375F | YS-380 | ||||||||||||||||||||||||
Year of energy measurement | 2017 | 2020 | 2020 | 2022 | 2022 | 2017 | 2019 | 2020 | ||||||||||||||||||||||||
Energy consumption (in MW) | 0.07 MW | 0.06 MW | 0.11 MW | 0.06 MW | 0.12 MW | 0.01 MW | 0.01 MW | 0.01 MW | ||||||||||||||||||||||||
Throughput (wph) | 240 | 209 | 277 | 260 | 330 | n/a | n/a | n/a | ||||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh)1 | 0.28 kWh | 0.27 kWh | 0.38 kWh | 0.24 kWh | 0.36 kWh | n/a | n/a | n/a | ||||||||||||||||||||||||
Platform1 | EUV 20 mJ/cm2 dose | EUV 30 mJ/cm2 dose | ||||||||||||||||||||||||
System type | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | ||||||||||||||||||||||
Year of energy measurement | 2015 | 2018 | 2020 | 2021 | ||||||||||||||||||||||
Energy consumption (in MW) | 1.15 MW | 1.40 MW | 1.31 MW | 1.32 MW | ||||||||||||||||||||||
Throughput (wph) | 59 | 107 | 136 | 160 | ||||||||||||||||||||||
Energy use per exposed wafer pass (in kWh) | 19.49 kWh | 13.08 kWh | 9.64 kWh | 8.27 kWh | ||||||||||||||||||||||
1.Dose energy in mJ refers to the energy required per expose per cm2. |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 84 | |||||||||||||||
Energy efficiency and climate action (continued) | ||||||||||||||||||||
Advanced patterning with EUV helps to limit growth in energy and water use and GHG emissions |
Creating EUV light |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 85 | |||||||||||||||
Circular economy | ||||||||||||||||||||
Minimizing waste and maximizing resources to extract the greatest value from the materials we use, and repurposing our products across their life cycles |
315 kg | 75% | |||||||||||||
Waste generated per €m revenue (2025 target: 209 kg) | Recycling rate (excluding construction) (2025 target: 90%) | |||||||||||||
95% | €0.8bn | |||||||||||||
% of systems sold in the past 30 years still active in the field (2025 target: >95%) | Savings from re-used parts | |||||||||||||
87% | €232m | |||||||||||||
Re-use rate of parts returned from field and factory (2025 target 95%) | Value of scrapped parts and packaging | |||||||||||||
6,675 t | ||||||||||||||
Total waste from operations (excluding construction) |
IN THIS SECTION | |||||||||||
Our overall performance in 2022 | |||||||||||
Reduce waste in our operations | |||||||||||
Re-use parts and materials | |||||||||||
Refurbish mature products | |||||||||||
Water management |
€781 million | |||||
Savings from re-used parts | |||||
Circular economy | ||||||||||||||
SDG target | How we measure our performance | |||||||||||||
SDG target 12.2 | ||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | –Recycling rate –Supplier spend covered with commitment to sustainability (LOI) | |||||||||||||
SDG target 12.5 | ||||||||||||||
By 2030, substantially reduce waste generation through prevention, reduction, recycling and re-use | –Reduction in waste –Increase in re-use of parts –Decrease in scrapped parts and packaging –Lifetime extension of systems still active in the field | |||||||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 86 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 87 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Circular economy | >95% | % of systems sold in the past 30 years still active in the field | n/a | 94 | % | 95 | % | • | ||||||||||||||||||||||||
95% | Re-use rate of parts returned from field and factory | n/a | 85 | % | 87 | % | • | |||||||||||||||||||||||||
No target | Savings from re-used parts (€, in millions)1,2 | 551 | 686 | 781 | n/a | |||||||||||||||||||||||||||
No target | Value of scrapped parts and packaging (€, in millions)2 | n/a | 269 | 232 | n/a | |||||||||||||||||||||||||||
209 kg/€m | Total waste from operations (excl. construction) normalized to revenue | 360 | 305 | 315 | • | |||||||||||||||||||||||||||
90% | Recycling rate (excl. construction) | 85 | % | 77 | % | 75 | % | n | ||||||||||||||||||||||||
No target | Total waste from operations (excl. construction)3 | 5,026 | 5,679 | 6,675 | n/a |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 88 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Reduce waste in our operations |
Non-hazardous waste recycling | 71 | % | |||||||||
Non-hazardous waste disposed | 24 | % | |||||||||
Hazardous waste recycling | 4 | % | |||||||||
Hazardous waste disposed | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 89 | |||||||||||||||
Circular economy (continued) |
95% | |||||
of our total waste in 2022 was non-hazardous waste |
Wood | 31 | % | |||||||||
General waste | 24 | % | |||||||||
Paper and cardboard | 13 | % | |||||||||
Electronics | 6 | % | |||||||||
Metals | 7 | % | |||||||||
Other non-hazardous waste | 5 | % | |||||||||
Plastic | 5 | % | |||||||||
Organic waste | 5 | % | |||||||||
Construction waste | 4 | % |
Hazardous liquids | 91 | % | |||||||||
Other hazardous waste (e.g. packaging, filters, lamps, etc.) | 6 | % | |||||||||
Cleaning wipes | 2 | % | |||||||||
Batteries | 1 | % |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 90 | |||||||||||||||
Circular economy (continued) |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 91 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Re-use parts and materials |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 92 | |||||||||||||||
Circular economy (continued) |
87% | ||
Re-use rate of defective parts in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 93 | |||||||||||||||
Circular economy (continued) |
4x | |||||
the value handled by our local repair centers in 2022 |
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 94 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Refurbish mature products |
95% of all systems sold in the past 30 years still active in the field | ||||||||
ASML ANNUAL REPORT 2022 | ENVIRONMENTAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 95 | |||||||||||||||
Circular economy (continued) | ||||||||||||||||||||
Water management |
ASML ANNUAL REPORT 2022 | SOCIAL | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 96 | |||||||||||||||
Social at a glance | ||||||||||||||||||||
We aim to have a positive role in society for our employees, the communities around us and everyone involved in our innovation ecosystem and supply chain. |
What we do | ||||||||||||||
As a multinational technology company, we impact many people’s lives, both directly and indirectly. We want to have a positive role in society – for our employees, our supply chain, everyone involved in our innovation ecosystem and the communities around us. | ||||||||||||||
Our aims | ||||||||||||||
We work closely with our stakeholders, collaborating to achieve the ambitions of our four focus areas. Our goal is to ensure that responsible growth benefits everyone. To maintain our fast pace of innovation and ensure our long-term success as a company, we need to attract and retain the best talent and provide the best possible employee experience. We aim to be a valued and trusted partner, improving the quality of life for all and supporting people in disadvantaged communities. Through our focus areas, we support five different SDGs in a range of ways. |
Attractive workplace for all | Read more on page 97 > | ||||||||||||||||
SDG 4 and 8 | –Inspiring a unified culture –Best employee experience –Enabling strong leadership –Ensuring employee safety | ||||||||||||||||
Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all/Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all | |||||||||||||||||
Our supply chain | Read more on page 109 > | ||||||||||||||||
SDG 8 and 12 | –Supplier performance and risk management –Responsible supply chain | ||||||||||||||||
Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all/Ensure sustainable consumption and production patterns | |||||||||||||||||
Innovation ecosystem | Read more on page 118 > | ||||||||||||||||
SDG 9 | –Partnerships for research and development –Supporting startups and scaleups | ||||||||||||||||
Build resilient infrastructure, promote inclusive and sustainable industrialization and foster innovation | |||||||||||||||||
Valued partner in our communities | Read more on page 124 > | ||||||||||||||||
SDG 4 and 11 | –Education –Arts & culture –Local outreach | ||||||||||||||||
Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all/Make cities and human settlements inclusive, safe, resilient and sustainable |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 97 | |||||||||||||||
Attractive workplace for all | ||||||||||||||||||||
Empowering individuals for the collective good to ensure our employees are proud to work for us and engaged with our ambitions as a company. |
6.0% | 37,643 | |||||||||||||||||||
Attrition rate (2025 target: <7%) | Total employees (FTE)1 EMEA 21,267 Asia 8,871 US 7,505 | |||||||||||||||||||
78% | (-4%) | 24% | ||||||||||||||||||
Employee engagement score against benchmark (2025 target -2% vs. top 25% performing companies) | Gender diversity (% females’ inflow) (2024 target: 23%) | |||||||||||||||||||
143 | ||||||||||||||||||||
Nationalities | ||||||||||||||||||||
IN THIS SECTION | ||||||||||||||||||||
Our overall performance in 2022 | ||||||||||||||||||||
Inspiring a unified culture | ||||||||||||||||||||
Best employee experience | ||||||||||||||||||||
Enabling strong leadership | ||||||||||||||||||||
Ensuring employee safety |
Attractive workplace for all | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 4.3 | ||||||||||||||||||||
By 2030, ensure equal access for all women and men to affordable and quality technical, vocational and tertiary education, including university | –Employee training and development indicators | |||||||||||||||||||
SDG target 8.1 | ||||||||||||||||||||
Sustain per capita economic growth in accordance with national circumstances and, in particular, at least 7% gross domestic product growth per annum in the least developed countries | –Financial performance | |||||||||||||||||||
SDG target 8.2 | ||||||||||||||||||||
Achieve higher levels of economic productivity through diversification, technological upgrading and innovation, including through a focus on high value-added and labor-intensive sectors | –Employee engagement score | |||||||||||||||||||
SDG target 8.5 | ||||||||||||||||||||
By 2030, achieve full and productive employment and decent work for all women and men, including for young people and persons with disabilities, and equal pay for work of equal value | –Workforce data including diversity and inclusion –Fair remuneration pay ratio | |||||||||||||||||||
SDG target 8.6 | ||||||||||||||||||||
By 2020, substantially reduce the proportion of youth not in employment, education or training | –Employee attrition rate –New hires | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | –Employee safety indicators | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 98 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Attractive workplace for all | Be on par with benchmark target: 2% below benchmark of top 25% performing companies | Employee engagement score | 80 | % | 78 | % | 78 | % | • | |||||||||||||||||||||||
No target | Employee growth (new hires and rate) | 1,932 (8%) | 4,373 (15%) | 7,130 (21%) | n/a | |||||||||||||||||||||||||||
<7% | Attrition rate | 3.8 | 5.4 | 6.0 | • | |||||||||||||||||||||||||||
20% (in 2024) | Gender diversity – % females inflow job grade 13+ | n/a | 12% | 35% | • | |||||||||||||||||||||||||||
12% (in 2024) | Gender diversity – % females job grade 13+ | n/a | 8 | % | 10% | • | ||||||||||||||||||||||||||
NL top 10 Taiwan top 20 S Korea top 20 US top 75 China top 100 | Attractiveness to talent (employer brand score)1 | NL 10 Taiwan 22 S Korea 24 US3 177 China 168 | NL 6 Taiwan 6 S Korea2 14 US3 177 China 148 | NL 4 Taiwan 6 S Korea n/a US 159 China 188 | n | |||||||||||||||||||||||||||
0.16 (2022) | Recordable incident rate | 0.18 | 0.17 | 0.18 | n | |||||||||||||||||||||||||||
Target is relative to the score of the top 25% of performing companies by +/-3%) (2024) | Inclusion index | 73 | % | 83 | % | 85 | % | • | ||||||||||||||||||||||||
23% (in 2024) | Inflow % female | 23 | % | 21 | % | 24% | • | |||||||||||||||||||||||||
No target | Total employees | Total 26,481 Male 83% Female 17% Asia 6,057 EMEA 14,714 US 5,710 | Total 30,842 Male 82% Female 18% Asia 7,430 EMEA 17,230 US 6,182 | Total 37,643 Male 80% Female 19% Unknown 1% Asia 8,871 EMEA 21,267 US 7,505 | n/a | |||||||||||||||||||||||||||
No target | Number of nationalities | 120 | 122 | 143 | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 99 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Inspiring a unified culture |
Our Diversity and Inclusion Strategy | |||||||||||||||||
Our roadmap focuses on three key areas: | |||||||||||||||||
Talent | Leadership | ||||||||||||||||
Attract and retain employees by ensuring that they are valued, supported with feedback and can grow their careers | Enabling our leaders to demonstrate commitment, accountability and role-model behavior to advance inclusion within their teams | ||||||||||||||||
Culture | |||||||||||||||||
Cultivate and promote an inclusive culture that equips employees to challenge norms and increase collaboration |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 100 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
24% | |||||||||||
of our new hires were women in 2022 | |||||||||||
85% | |||||||||||
2022 inclusion score |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 101 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Best employee experience |
We want to offer our people the best possible employee experience at all our sites, enabling them to develop their talent, feel respected and work to the best of their abilities. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 102 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
We support our employees in maintaining a healthy, productive and balanced life. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 103 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
7,130 | ||
New payroll employees in 2022 (4,373 in 2021) | ||
21% | ||
Rate of new hires in 2022 (15% in 2021) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 104 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
1.The 2020 to 2022 FTEs in the chart above do not include the FTEs acquired through the acquisition of Berliner Glas (ASML Berlin GmbH). |
87% of new hires indicated that they had a positive onboarding experience in 2022, with good support from their managers. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 105 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Future ASML CLA | ||||||||
In the Netherlands, we continue to aim for dispensation from the Metalektro Collective Labor Agreement (CLA) in order to develop our own CLA. Our unique position in the global market, our size and growth as well as our very unique group of employees and the large range of competencies and activities we bring together to deliver our products have created a need for our own approach to labor conditions. The purpose of a future ASML CLA is to offer a set of labor conditions that match the diversity and needs of all our employees. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 106 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Enabling strong leadership |
As our company grows, so does the need for clarity around roles and expectations. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 107 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
Ensuring employee safety |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 108 | |||||||||||||||
Attractive workplace for all (continued) | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 109 | |||||||||||||||
Our supply chain | ||||||||||||||||||||
Setting the bar higher for our world-class supplier network to achieve the innovations we strive for, by ensuring we conduct our business in a sustainable and responsible manner. |
€12.4bn | 5,000 | ||||||||||||||||
Total sourcing spend 39% Netherlands 41% EMEA (excl. NL) 13% North America 7% Asia | Total suppliers 1,600 Netherlands 750 EMEA (excl. NL) 1,300 North America 1,350 Asia | ||||||||||||||||
59% | |||||||||||||||||
% supplier spend covered by commitment to sustainability (LOI) (2025 target: 80%) | |||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Supplier performance and risk management | |||||||||||||||||
Responsible supply chain |
Our supply chain | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 8.8 | ||||||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | –Compliance with RBA Code of Conduct –RBA self-assessment questionnaire completion –Suppliers with high risk on sustainability elements evaluated and follow-up agreed | |||||||||||||||||||
SDG target 12.2 | ||||||||||||||||||||
By 2030, achieve the sustainable management and efficient use of natural resources | –Supplier spend covered with commitment to sustainability (LOI) | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 110 | |||||||||||||||
Our supply chain (continued) |
ASML’s supply chain strategy is centered on long-term relationships and close cooperation with our suppliers and partners. | ||
We require our suppliers to: | |||||
1.Secure materials from their suppliers to enable the output ramp-up for customers 2.Enable our product roadmap through the development and maintenance of best-in-class competencies and capabilities to secure the most advanced technology and fast time-to-market 3.Drive cost reductions, quality and capability improvements through efficient and dedicated operations 4.Build a sufficiently broad customer base and scale to share and spread the risks of volatile market cycles and to increase flexibility and cost competitiveness 5.Make active contributions to our sustainability strategy |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 111 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Our supply chain | 80% | % supplier spend covered by commitment to sustainability (LOI) | n/a | n/a | 59 | % | • | |||||||||||||||||||||||||
90% | RBA self-assessment completed (in %) | 88 | % | 89 | % | 93 | % | • | ||||||||||||||||||||||||
100% | Suppliers with high risk on sustainability elements evaluated and follow-up agreed (in %) | — | % | 100 | % | 100 | % | • |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 112 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Supplier performance and risk management |
We conduct continuous performance and risk management of our supply base to assure and improve performance, and prevent reputational damage. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 113 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Responsible supply chain |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 114 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Our performance in 2022 | |||||||||||||||||||||||
Total supplier base | |||||||||||||||||||||||
12.4bn | |||||||||||||||||||||||
Total spend | |||||||||||||||||||||||
% of total spend | |||||||||||||||||||||||
800 | Product-related suppliers | 69 | % | ||||||||||||||||||||
4,200 | Non-product-related suppliers | 31 | % |
2025 LOI target is 80% | ||||||||||||||
In 2022, 59% of the total spend was covered with the LOI commitment to sustainability | ||||||||||||||
We apply due diligence screening to the total supplier base using the RBA Risk Assessment Platform. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 115 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
ASML suppliers |
5,000 | |||||
Suppliers | |||||
€12.4bn | |||||
Total spend |
Supplier base geographic split by percent spend | |||||||||||||||||||||||||||||
1,600 suppliers | 750 suppliers | 1300 suppliers | 1350 suppliers | ||||||||||||||||||||||||||
39 | % | 41 | % | 13 | % | 7 | % | ||||||||||||||||||||||
Netherlands | EMEA (excl. Netherlands) | North America | Asia |
Supplier Risk Profiles, created for business-critical, strategically important suppliers | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
216 suppliers represent 92% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
29 suppliers represent 23% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
* Major suppliers are those that account for 80% of PR spend and any business-critical NPR suppliers. |
The Responsible Business Alliance (RBA) self-assessment questionnaire completed by major suppliers* | ||||||||||||||||||||
€8.6bn | ||||||||||||||||||||
44 suppliers represent 71% of this spend | ||||||||||||||||||||
€3.8bn | ||||||||||||||||||||
15 suppliers represent 26% of this spend | ||||||||||||||||||||
Product-related spend | Non-product-related spend |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 116 | |||||||||||||||
Our supply chain (continued) | ||||||||||||||||||||
Number of high risks identified from RBA SAQ | |||||||||||||||||
Standard | RBA commitment | 2020 | 2021 | 2022 | Main findings 2022 | ||||||||||||
Labor | To uphold the human rights of all workers (direct and indirect), and to treat them with dignity and respect as understood by the international community, including the ILO's eight fundamental conventions | 1 | 0 | 0 | |||||||||||||
Health and safety | To minimize the incidence of work-related injury and illness and to ensure a safe and healthy working environment. Communication and education is essential to identifying and solving health and safety issues in the workplace | 0 | 0 | 1 | Finding related to a non-product-related supplier where the requirements do not entirely match the type of organization. | ||||||||||||
Environment | Environmental responsibility is integral to producing world-class products and services. Adverse effects on the community, environment and natural resources are to be minimized while safeguarding the health and safety of the public | 0 | 0 | 3 | Findings related to 1) a non-product-related company where the requirements do not entirely match the type of organization; 2) a supplier in the process of implementing a company-wide environmental program and supplier management and 3) a company with policies in place, however, no environmental program and supplier contractual requirements in place. | ||||||||||||
Ethics | To meet social responsibilities and to achieve success in the industry, the highest standards of ethics should be upheld, including but not limited to business integrity, anti-bribery and corruption, antitrust and competition, protecting privacy | 1 | 0 | 1 | Finding related to no separate conflict minerals policy and supplier program in place, but instead this supplier has a supplier code of conduct in place. | ||||||||||||
Members and participants are committed to establishing a management system to ensure: | |||||||||||||||||
–Compliance with applicable laws, regulations and customer requirements –Conformance with the Code standards –Identification and mitigation of operational risks –Facilitation of continuous improvement |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 117 | |||||||||||||||
Our supply chain (continued) |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 118 | |||||||||||||||
Innovation ecosystem | ||||||||||||||||||||
We don’t innovate in isolation. We develop technology together with the help of our partners and our collaborative knowledge network. |
€3.3bn | 63% | ||||||||||||||||
R&D Investments (2025 target: >4bn) | R&D spend as % growth from 2019 base year (2025 target: >100%) | ||||||||||||||||
€14.7m | €1.0m | ||||||||||||||||
Contribution to EU research projects | Value startups and scaleups in-kind support | ||||||||||||||||
IN THIS SECTION | |||||||||||||||||
Our overall performance in 2022 | |||||||||||||||||
Partnerships for research and development | |||||||||||||||||
Supporting startups and scaleups |
Innovation ecosystem | |||||||||||||||||
SDG target | How we measure our performance | ||||||||||||||||
SDG target 9.1 | |||||||||||||||||
Develop quality, reliable, sustainable and resilient infrastructure, including regional and transborder infrastructure, to support economic development and human well-being, with a focus on affordable and equitable access for all | –Supporting startups to Star level –Supporting scaleup projects –Collaboration in EU projects | ||||||||||||||||
SDG target 9.4 | |||||||||||||||||
By 2030, upgrade infrastructure and retrofit industries to make them sustainable, with increased resource-use efficiency and greater adoption of clean and environmentally sound technologies and industrial processes, with all countries taking action in accordance with their respective capabilities | –Collaboration with research partners –Energy efficiency of our products measured per wafer pass | ||||||||||||||||
SDG target 9.5 | |||||||||||||||||
Enhance scientific research, upgrade technological capabilities of industrial sectors in all countries, in particular developing countries. For developing countries, this includes, by 2030, encouraging innovation and increasing the number of research and development workers per one million people, as well as public and private research and development spending | –Investments in R&D | ||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 119 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Innovation ecosystem | >4bn euro | R&D Investments | €2.2bn | €2.5bn | €3.3bn | • | ||||||||||||||||||||||||||
>100% | R&D spend as % growth from 2019 base year | 10 | % | 25 | % | 63 | % | • | ||||||||||||||||||||||||
No target | Value startups and scaleups in-kind support | €0.6m | €1.0m | €1.0m | n/a | |||||||||||||||||||||||||||
No target | Startups and scaleups in-kind support hours | 1,550 | hrs | 2,100 | hrs | 4,180 | hrs | n/a | ||||||||||||||||||||||||
>20% | Startups reached Star level from total startups (in %) | 16 | % | 15 | % | 12 | % | n | ||||||||||||||||||||||||
14 | Number of scale-up companies supported (in numbers) | 7 | 7 | 10 | • | |||||||||||||||||||||||||||
No target | Contribution to EU research projects | €28.5m | €30.3m | €14.7m | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 120 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Partnerships for research and development |
€3.3 billion | ||
R&D investments in 2022 | ||
€14.7 million | ||
Contribution in R&D across public–private partnerships in 2022 |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 121 | |||||||||||||||
Innovation ecosystem (continued) |
Figure 1: ASML’s IPCEI proposal concerns the third step in the three-phase approach toward introduction of EUV 0.55 NA (High-NA) lithography. Phases 1 & 2 are already planned by ASML and imec. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 122 | |||||||||||||||
Innovation ecosystem (continued) | ||||||||||||||||||||
Supporting startups and scaleups |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 123 | |||||||||||||||
Innovation ecosystem (continued) |
inPhocal makes first sale after two years as HighTechXL Venture Building Program alumnus |
We are on track to support 14 new scaleup projects by 2025. |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 124 | |||||||||||||||
Valued partner in our communities | ||||||||||||||||||||
As a global technology leader and employer, we play an active role in the communities where we operate – we recognize that when the community thrives, we thrive. At the same time, our ASML Foundation aims to improve lives through education and training. |
€11.5m | 13,645 | ||||
Community investment | Time investment in volunteers – hrs community involvement |
4,736 | 411 | ||||
Time investment in volunteers – hrs technology promotion | Total number of projects supported |
Valued partner in our communities | ||||||||||||||||||||
SDG target | How we measure our performance | |||||||||||||||||||
SDG target 4.4 | ||||||||||||||||||||
By 2030, substantially increase the number of youth and adults who have relevant skills, including technical and vocational skills, for employment, decent jobs and entrepreneurship | –Community engagement and technology promotion | |||||||||||||||||||
SDG target 4.5 | ||||||||||||||||||||
By 2030, eliminate gender disparities in education and ensure equal access to all levels of education and vocational training for the vulnerable, including persons with disabilities, indigenous peoples and children in vulnerable situations | –ASML Foundation projects | |||||||||||||||||||
SDG target 11.2 | ||||||||||||||||||||
By 2030, provide access to safe, affordable, accessible and sustainable transport systems for all, improving road safety, notably by expanding public transport, with special attention to the needs of those in vulnerable situations, women, children, persons with disabilities and older persons | –Community engagement | |||||||||||||||||||
SDG target 11.4 | ||||||||||||||||||||
Strengthen efforts to protect and safeguard the world’s cultural and natural heritage |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 125 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
On track or met target • Ongoing focus area n |
Progress tracking | ||||||||||||||||||||||||||||||||
Topic | Target 2025 | Performance indicator | 2020 | 2021 | 2022 | Status | ||||||||||||||||||||||||||
Valued partner in our communities | No target | ASML Foundation projects supported | 22 | 22 | 21 | n/a | ||||||||||||||||||||||||||
No target | ASML Foundation's value of donations | €1.0m | €2.0m | €2.4m | n/a | |||||||||||||||||||||||||||
No target | Projects supported | n/a | 133 | 390 | n/a | |||||||||||||||||||||||||||
No target | Value of donations | €3.1m | €8.1m | €7.9m | n/a | |||||||||||||||||||||||||||
No target | Total cost of volunteering | €271k | €283k | €1,200k | n/a | |||||||||||||||||||||||||||
No target | Time investment of volunteers (in hours) – Community involvement | 1,333 | 2,393 | 13,645 | n/a | |||||||||||||||||||||||||||
No target | Time investment of volunteers (in hours) – Tech promotion | 2,936 | 1,886 | 4,736 | n/a |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 126 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
Education |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 127 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
We’re doing our part to ensure everyone, at every age, is prepared for an increasingly digital future and that all young people have access to technical education to reach their potential. | ||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 128 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
Arts & culture |
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 129 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 130 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
Local outreach |
It is important to us that everyone in our communities around the world can benefit from ASML’s presence and develop their potential. | ||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 131 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SOCIAL CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 132 | |||||||||||||||
Valued partner in our communities (continued) | ||||||||||||||||||||
ASML foundation |
ASML ANNUAL REPORT 2022 | GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 133 | |||||||||||||||
Governance at a glance |
What we do | ||||||||||||||
We champion good integrated corporate governance to build a relationship of trust, respect and mutual benefit with our stakeholders – shareholders, customers, suppliers, employees and society. In this ESG Governance section, we describe how we organize the management of ESG issues within our business, and the other ways in which we ensure we are a responsible business. | ||||||||||||||
Our aims | ||||||||||||||
As the innovator that makes vital systems for the chip industry, we have a responsibility to lead by example. We are committed to conducting our business in compliance with applicable laws and regulations in all the countries we operate in. We strive to work to the highest standards of integrity and continuous improvement of our governance, based on feedback we actively procure from our internal and external stakeholders. We want to conduct our business with honesty and embrace an open dialogue and knowledge sharing throughout our ecosystem. | ||||||||||||||
Managing ESG sustainability, Responsible business and Our approach to tax | ||||||||||||||||||||
SDG 8 | –Managing ESG sustainability –Business ethics and Code of Conduct –Legal and compliance –Anti-bribery and anti-corruption –Competition law compliance policy –Privacy protection –Respecting human rights –Information security –Our approach to tax | |||||||||||||||||||
Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all. | ||||||||||||||||||||
SDG 12 | –Product safety | |||||||||||||||||||
Ensure sustainable consumption and production patterns | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 134 | |||||||||||||||
Managing ESG Sustainability | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 135 | |||||||||||||||
Responsible business | ||||||||||||||||||||
Empowering individuals for the collective good to ensure our employees are proud to work for us and engaged with our ambitions as a company. |
414 | 10% | |||||||||||||
Speak Up messages | Gender diversity % female in senior (13+) job grades (2024 target: 12%) | |||||||||||||
Responsible business | |||||||||||||||||
SDG target | How we measure our performance | ||||||||||||||||
SDG target 8.7 | |||||||||||||||||
Take immediate and effective measures to eradicate forced labor, end modern slavery and human trafficking and secure the prohibition and elimination of the worst forms of child labor, including recruitment and use of child soldiers, and by 2025 end child labor in all its forms | –Number of speak-up messages | ||||||||||||||||
SDG target 8.8 | |||||||||||||||||
Protect labor rights and promote safe and secure working environments for all workers, including migrant workers, in particular women migrants, and those in precarious employment | |||||||||||||||||
SDG target 12.4 | |||||||||||||||||
By 2020, achieve the environmentally sound management of chemicals and all wastes throughout their life cycle, in accordance with agreed international frameworks, and significantly reduce their release to air, water and soil in order to minimize their adverse impacts on human health | –RoHs/REACH compliance of parts used | ||||||||||||||||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 136 | |||||||||||||||
Responsible business (continued) |
Our ethics governance consists of several levels, which include: 1.Our Ethics Board, chaired by our CEO, reports to the Audit Committee and Board of Management. The Ethics Board is responsible for policymaking and the supervision of ASML’s compliance with legal and ethical requirements. The Ethics Board meets regularly to give guidance on relevant issues and approve the relevant policies. 2.Our Ethics Committee investigates significant notifications about potential breaches of ASML’s Code of Conduct worldwide. 3.Our Ethics Office is responsible for overseeing and implementing our Ethics program. All reports of a possible breach of ASML’s Code of Conduct are screened by one of the Ethics Officers and all significant reports are discussed with the Ethics Committee. 4.Our Ethics organization includes employees who, in addition to their regular roles at ASML, act as Ethics Liaisons in all the countries we operate in. They serve as trusted representatives, and act as the first local point of contact for employees with questions and concerns related to ethics. | ||||||||
Our values – challenge, collaborate and care – guide us in our everyday dealings with employees, customers, suppliers, shareholders and the society we serve. | ||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 137 | |||||||||||||||
Responsible business (continued) |
Our commitment | |||||||||||
We respect people | ASML is committed to maintaining a safe and healthy working environment, respecting human rights in line with international laws and regulations and industry standards such as the RBA Code of Conduct. Diversity of cultures, education and talent makes us a stronger, more creative and innovative company. By working together and using these values to guide us, we create an environment based on mutual respect – one that leads to better results than any of us can achieve alone. | ||||||||||
We operate with integrity | A strong culture of integrity and compliance underpins ASML’s business success. We define ‘integrity’ as acting with honesty, sincerity, care and reliability. Compliance not only means complying with laws and regulations, but also with our high ethical standards. Our reputation for integrity is a valuable asset. It is essential for us to demonstrate personal and business integrity at all times. | ||||||||||
We commit to safety and social responsibility | Technology reaches all parts of society. By helping to make chips more affordable and more powerful, ASML has an important role to play – not only by reputation and results but also in relation to the environment too. This is why ASML is committed to conducting business responsibly, enabling sustainable growth while fulfilling legal and moral obligations. We aim to achieve our business objectives in a caring and responsible manner as outlined in the key principles. | ||||||||||
We protect our assets | ASML’s most valuable assets are its people and knowledge, both of which are highly valued and protected. Our ‘assets’ include intellectual property, | ||||||||||
We encourage you to communicate and Speak Up | To fulfill our commitment to upholding the high standards of integrity described in this Code, communication is key. We strive for a working environment that encourages open dialogue among employees, as well as between employees and third parties, where employees feel comfortable and respected, and that they can trust each other to do the right thing. If you observe or suspect a violation, we encourage you to speak up. | ||||||||||
Our Code of Conduct serves to foster a culture of integrity, ethics and respect. | ||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 138 | |||||||||||||||
Responsible business (continued) |
We promote an open culture of trust and honest communication. | ||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 139 | |||||||||||||||
Responsible business (continued) |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 140 | |||||||||||||||
Responsible business (continued) |
We expect our business partners – customers, suppliers, consultants, contractors and intermediaries – to demonstrate high standards of ethical behavior that are consistent with our own. | ||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 141 | |||||||||||||||
Responsible business (continued) |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 142 | |||||||||||||||
Responsible business (continued) |
We believe that we have the responsibility not only to respect human rights but to advocate for them throughout our organization to help make a positive impact on society. | ||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 143 | |||||||||||||||
Responsible business (continued) |
Creating Security Circles of Trust | ||||||||||||||
At ASML, we develop our technology in close collaboration with partners inside and outside our company in an innovation ecosystem based on trust. Innovating and collaborating in a connected ecosystem requires secure information sharing beyond corporate boundaries, as the vulnerability to cyberattacks is extended to the perimeter of the total ecosystem. Therefore, in 2021 ASML started the Security Circles of Trust initiative to protect our innovation ecosystem in the Brainport Eindhoven region and the Netherlands. The ‘circle of trust’ is a network of peers and suppliers who jointly embrace the same information security standards and raise their performance against these standards. The network also drives the exchange of knowledge and best practices between ASML, suppliers and ecosystem partners. | We share best practices to help our innovation partners develop and reinforce security maturity. The goals are to protect intellectual property and guard the industry and the region against cybercrime such as ransomware, to share relevant threat intelligence, to collaborate on security topics and to become more secure together. Annually we hold master classes with our top 10 key suppliers and more than 50 of our neighbor companies to increase information security awareness and knowledge in the region, and to share practical tips, tricks and strategies, for example about combating ransomware. In 2022, we have expanded the Circle of Trust to also include semiconductor companies in the US, Europe and Taiwan, with further roll-out scheduled for 2023 to other geographies. | |||||||||||||
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 144 | |||||||||||||||
Responsible business (continued) |
IP portfolio trend |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 145 | |||||||||||||||
Responsible business (continued) |
Product safety competences | ||||||||
With regard to all of our competences, the role of our D&E safety competence leads is to provide thorough knowledge about our ways of working and to design rules for specific safety hazards in all of our competences. Electrical: Making an electrical design safe and protecting people from electrical shock. This involves making conductors carrying hazardous voltage inaccessible, ensuring that accessible conductors do not carry hazardous voltages and that inaccessible conductors are sufficiently insulated from accessible ones through compliance with corresponding regulations and standards. Pressure: Interpreting and explaining local legislations and standards, and also advising on testing and documentation, and maintaining the manufacturing record book which is needed for a high-pressure permit in certain countries. Human factor engineering (including ergonomics): Incorporating a human-centered design approach helping projects maintain access for maintenance and servicing by laying down rules for issues such as accessibility, posture, forces and the lifting of parts. Mechanical: Keeping track of safety factors, as well as seismic requirements for our machines. Lifting: Many special requirements (such as the certification and training of crane operators) are applicable in countries where we use lifting tools. Our team can advise when certification is needed. For example, in South Korea certification is required for weights of 500 kg or more. | Working at height: This is a new area of expertise which was required during the design of our EXE:5000, our first EUV 0.55NA (High-NA) system to guarantee good access to the various areas. Radiation: Main focus on lasers with intensities that go beyond standard. In addition, we consider the impacts of standard and special lamps and LEDs that we are using. Dangerous goods: Prevent shipments being stopped due to requirements for transport and the importation of certain hazardous substances such as chemicals, magnets and batteries. Safety in procedures: Support of creating written safety procedures for highly complex operations. Thermal: The use of tin at high temperatures requires special precautions to protect people. Dangerous gases: The use of gases requires safety systems and procedures to protect machines and people. For example, nitrogen is an asphyxiation hazard, and the use of hydrogen in EUV has additional applicable legislations and standards. Materials and substances: Monitoring worldwide legislation to check the legal status of all materials used on our products, and ensuring that we don’t use or introduce hazardous materials in our products. |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 146 | |||||||||||||||
Responsible business (continued) |
Year ended December 31 (€, in millions) | 2020 | 2021 | ||||||
Deposits with financial institutions, governments and government related bodies | 1,545.3 | 2,131.7 | ||||||
Investments in money market funds | 3,841.9 | 2,928.3 | ||||||
Bank accounts | 662.2 | 1,891.8 | ||||||
Cash and cash equivalents | 6,049.4 | 6,951.8 | ||||||
Deposits with financial institutions, governments and government related bodies | 1,302.2 | 638.5 | ||||||
Short-term investments | 1,302.2 | 638.5 |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 147 | |||||||||||||||
Our approach to tax | ||||||||||||||||||||
ASML is committed to helping build a fairer and more sustainable society through social economic cohesion, sustainable growth and long-term prosperity. Taxation is a means to that end. |
We consider the taxes that we pay to be a contribution to the communities in which we operate and an integral part of our responsibility for social value creation. Openness and transparency on how we operate and our approach to tax is important to us, which is supported by our business and ESG strategy. | ||||||||||||||
€1.7bn | 15.0% | |||||||||||||
Income tax paid 2022 (€1.2bn in 2021) | Effective tax rate 2022 (15.2% in 2021) | |||||||||||||
Read more in: | ||||||||||||||
‘Approach to tax report’ on www.asml.com | ||||||||||||||
Income tax paid in our five most significant countries of operation |
1. Netherlands | €757m | ||||
2. United States | €474m | ||||
3. Taiwan | €209m | ||||
4. South Korea | €167m | ||||
5. China | €42m |
ASML ANNUAL REPORT 2022 | GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 148 | |||||||||||||||
Our approach to tax (continued) |
Our tax strategy is closely aligned to our business strategy and our sustainability goals.” | ||
Gaby Bes | ||
Head of Tax & Customs |
Our tax principles | ||||||||
Compliance | ||||||||
–We act in accordance with the letter, intent and spirit of tax laws and regulations. –We make tax disclosures in accordance with reporting requirements, US GAAP and IFRS. –ASML’s profit allocation methods are based on internationally accepted standards as published by the OECD. We apply these consistently across our business, contingent on the relevant rules and regulations in the local jurisdictions we operate in. | ||||||||
Support tax systems | ||||||||
–We report taxable income in a jurisdiction commensurate with the added value of the business activities in that jurisdiction. –We do not use so-called tax havens (as defined by the European Commission’s ‘blacklist’) for tax avoidance. | ||||||||
Relationships with authorities | ||||||||
–We pursue an open and constructive dialogue with the tax authorities, and other relevant authorities, in the jurisdictions we operate in, based on mutual respect, transparency and trust, disclosing all relevant facts and circumstances. We do not use tax structures intended for tax avoidance, nor will we engage in the artificial transfer of profits to low-tax jurisdictions. | ||||||||
Our tax strategy | |||||||||||
1 | Stakeholder management | ||||||||||
Externally, with tax authorities and regulators, but also investor communication. Internally, in supporting our business in managing risks, being in control and at the same time remaining efficient in its administrative procedures and way of working. We work in an integrated way with other experts within ASML. | |||||||||||
2 | The future of taxation | ||||||||||
This includes developments in ESG (including Tax Transparency) and Tax technology, whereby we closely monitor the developments in the outside world and continuously translate these into potential requirements or implications for ASML. | |||||||||||
3 | Compliance & Control | ||||||||||
This includes the development, implementation and continuous monitoring of processes and controls for appropriate tax risk management and reporting purposes. Furthermore, this includes ensuring timely and accurate fulfillment of tax compliance obligations in line with applicable tax laws and regulations (incl. timely payment of taxes due). | |||||||||||
4 | Tax & Customs organization | ||||||||||
In a fast-changing world, it is important to have a diverse team which can handle change and are more than just good tax and customs experts. Communication, digital and project management skills are becoming increasingly important. We strive to work together and develop each other in line with the ASML values (challenge, collaborate, and care). | |||||||||||
5 | Projects | ||||||||||
Our business and the regulatory environment in which we operate change constantly. We work on projects that deal with these changes to ensure the solutions implemented are compliant and efficient. Likewise, we continuously strive for simplification and review of existing business models to ensure we remain tax and customs compliant. | |||||||||||
ASML ANNUAL REPORT 2022 | SMALL PATTERNS. BIG IMPACT. | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 149 |
WEARABLE TECHNOLOGY | |||||||||||
Ground-breaking tech, life-changing outcomes | |||||||||||
Semiconductors are essential to a new range of wearable devices with the potential to transform medical care, particularly for our elderly populations. From smartwatches to fall detection services, nano-sensors can monitor patients’ health and alert caregivers – while in conjunction with artificial intelligence, they can even predict conditions such as heart disease and cancer. | |||||||||||
Read more online |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 150 | |||||||||||||||
Corporate Governance at a glance | ||||||||||||||||||||
We champion integrated corporate governance to build a relationship of trust, respect and mutual benefit with our stakeholders. |
Overview | Corporate Governance Statement | Read more on page 151 | Supervisory Board Report | Read more on page 168 | Remuneration Report | Read more on page 186 | |||||||||||||||||||||||||||||||||||||||||||||||
These pages provide an overview of and a brief introduction to the Corporate Governance section of our Annual Report. | In our Corporate Governance Statement we report on ASML's corporate governance structure and the way ASML applies the principles and best practices of the Dutch Corporate Governance Code. | –Governance structure –Board of management –Supervisory Board –Board-related matters –AGM and share capital –Financial reporting and audit –Compliance with governance requirements | This report outlines the activities of the Supervisory Board and its committees, as well as the key focus areas for 2022, including stakeholder engagement, issues relating to people and our supply chain, and the growing importance of ESG. | Here we explain the progress made during the year regarding our commitment to fair and balanced remuneration, including our work to increase the level of transparency around how we reward management in order to attract the right talent. | |||||||||||||||||||||||||||||||||||||||||||||||||
–Message from the Chair –Supervisory Board –Board focus in 2022 –Meetings and attendance –Composition, training and evaluation –Supervisory Board Committees –Audit committee –Technology committee –Selection and Nomination Committee | –Message from the Chair –Remuneration committee –Board of Management remuneration –Supervisory Board remuneration | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Our strategy | Read more on page 31 | Message from the Chair of our Supervisory Board | Read more on page 168 | Message from the Chair of the Remuneration Committee | Read more on page 186 | ||||||||||||||||||||||||||||||||||||||||||||||||
Our business model | Read more on page 33 | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Our stakeholders | Read more on page 37 |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 151 | |||||||||||||||
Corporate Governance |
ASML corporate governance structure | |||||||||||||||||||||||||||||||||||||||||||||||
Shareholders | |||||||||||||||||||||||||||||||||||||||||||||||
Supervisory Board | |||||||||||||||||||||||||||||||||||||||||||||||
Board of Management | |||||||||||||||||||||||||||||||||||||||||||||||
Business sectors | Business functions | Corporate functions | Employee support | ||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 152 | |||||||||||||||
Board of Management |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 153 | |||||||||||||||
Board of Management (continued) |
Martin A. van den Brink (1957, Dutch) | Christophe D. Fouquet (1973, French) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
President, Chief Technology Officer and Vice Chair of Board of Management Term expires 2024 | Executive Vice President and Chief Business Officer Term expires 2026 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Christophe Fouquet was appointed Executive Vice President EUV and member of the Board of Management in 2018. In 2022, Christophe was appointed Executive Vice President and Chief Business Officer. Since joining ASML in 2008, he has held several positions, including Senior Director Marketing, Vice President Product Management, and Executive Vice President Applications, a position he held from 2013 until 2018. Prior to joining ASML, he worked for semiconductor equipment peers KLA-Tencor and Applied Materials. Christophe holds a master’s degree in Physics from the Institut Polytechnique de Grenoble. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Martin van den Brink has been President and CTO of ASML since 2013. He joined ASML at its founding in 1984, and for the next 11 years held various positions in engineering. In 1995, he became Vice President Technology, and in 1999 was appointed Executive Vice President Product & Technology and member of the Board of Management. Martin holds a degree in Electrical Engineering from HTS Arnhem (HAN University), as well as a degree in Physics (1984) from the University of Twente. In 2012, the University of Amsterdam awarded him an honorary doctorate in Physics. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Roger J.M. Dassen (1965, Dutch) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Executive Vice President and Chief Financial Officer Term expires 2026 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Peter T.F.M. Wennink (1957, Dutch) | Peter was a member of the Advisory Board of the Investment Committee of Stichting Pensioenfonds ABP until December 31, 2021. He serves as Vice Chairman on the Board of the FME-CWM. Peter is also a member of the Board of Captains of Industry Eindhoven Region and is Chair of the Eindhovensche Fabrikantenkring and of the Supervisory Board of the Eindhoven University of Technology. Furthermore, Peter is council member of Topconsortium voor ‘Kennis en Innovatie’ TKI HTS&M, member of the Advisory Committee of the Dutch National Growth Fund and a member of the Circle of Influence of Startup Delta. | Roger Dassen joined ASML in June 2018 and was appointed Executive Vice President and CFO and member of the Board of Management at the AGM the same year. He previously served as Global Vice Chair and member of the Executive Board of Deloitte Touche Tohmatsu Limited, having been CEO of Deloitte Holding B.V. Roger holds a master’s in Economics and Business Administration, a post-master’s in Auditing and a PhD in Business Administration, all from the University of Maastricht. He is Professor of Auditing at Vrije Universiteit Amsterdam, and sits on the Supervisory Board of the Dutch National Bank. He is also the Chair of the Supervisory Board of Maastricht University Medical Center+ and serves on the Board of the Stichting Brainport. | Frédéric J.M. Schneider-Maunoury (1961, French) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
President, Chief Executive Officer and Chair of Board of Management Term expires 2024 | Executive Vice President and Chief Operations Officer Term expires 2026 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Peter Wennink became President and CEO in 2013, having served as Executive VP, CFO and member of the Board of Management since 1999. Peter was previously a partner at Deloitte Accountants, focusing on the semiconductor industry. He has an extensive background in finance and is a member of the Dutch Institute of Registered Accountants. | Frédéric Schneider-Maunoury has been Executive Vice President and Chief Operations Officer since he joined ASML in 2009. He was appointed to the Board of Management in 2010. Prior to joining ASML, Frédéric was Vice President Thermal Products Manufacturing at power generation and rail transport equipment group Alstom, having previously served as General Manager of the worldwide Hydro Business of Alstom. Before joining Alstom, Frédéric held various positions at the French Ministry of Trade and Industry. He is a graduate of École polytechnique (1985) and École Nationale Supérieure des Mines (1988) in Paris. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 154 | |||||||||||||||
Supervisory Board |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 155 | |||||||||||||||
Supervisory Board (continued) |
Antoinette (Annet) P. Aris (1958, Dutch) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2015 (Third term expires in 2024) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Vice Chair of the Supervisory Board since 2021, Member of Remuneration Committee, Technology Committee and Selection and Nomination Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Annet Aris has been a member of the Supervisory Board since 2015. She is Senior Affiliate Professor of Strategy at INSEAD business school, France, a position she has held since 2003. From 1994 to 2003, she was a partner at McKinsey & Company in Germany and until December 2022 she was a Supervisory Board member at the Cooperatieve Rabobank UA. She also sits on the supervisory boards of Jungheinrich AG and Randstad Holding NV. | Alexander F.M. Everke (1963, German) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2022 (First term expires in 2026) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Gerard J. Kleisterlee (1946, Dutch) | Gerard Kleisterlee joined the Supervisory Board in 2015, and has been its Chair since 2016. He was President and CEO of the Board of Management of Royal Philips NV from 2001 until 2011, having worked at the company since 1974. He also served as a Supervisory Board member of the Dutch Central Bank from 2006 until 2012, as Non-Executive Director at Daimler AG from 2009 until 2014 and as Non-Executive Director at Dell from 2010 until 2013. From 2011 to 2022, Gerard was the Chairman of the Board of Vodafone Group Plc. From 2010 until May 2020, he was a Non-Executive Director of Royal Dutch Shell Plc. Currently, Gerard is an independent Board member at IBEX Limited. | Member of the Remuneration Committee | D. Mark Durcan (1961, American) | Mark Durcan was appointed as a member of the Supervisory Board in 2020. From 2012 to 2017, he was CEO of Micron Technology, Inc., having joined the company in 1984 and held various management positions before being appointed as CEO. Furthermore, Mark was director at Freescale Semiconductor, MWI Veterinary Supply and Veoneer, Inc. Mark is a Non-Executive Director at Advanced Micro Devices, Inc., a member of the Board of AmerisourceBergen Corporation, member of the Board of Trustees for Rice University (Texas), Director at St Luke’s Health System (Idaho) and Director at Natural Intelligence Systems CA private AI, Startup Company. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2015 (Second term expires in 2023) | Alexander Everke joined the Supervisory Board in 2022. He is the CEO of ams-OSRAM AG, a position he has held since March 2016, after having joined ams AG in October 2015. Prior to that, Mr. Everke held a range of positions in the semiconductor industry including management positions at Siemens and Infineon and various leadership positions at NXP Semiconductors. | Member of the Supervisory Board since 2020 (First term expires in 2024) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Chair of the Supervisory Board, Chair of the Selection and Nomination Committee and member of the Technology Committee | Chair of the Technology Committee, member of the Selection and Nomination Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 156 | |||||||||||||||
Supervisory Board (continued) |
Rolf-Dieter Schwalb (1952, German) | Birgit M. Conix (1965, Belgian) | Terri L. Kelly (1961, American) | Terri Kelly has been a member of the Supervisory Board since 2018. Previously, she was President and Chief Executive Officer at W.L. Gore & Associates from 2005 until 2018, having worked at Gore since 1983 in various management roles. She also served on Gore’s Board of Directors through July 2018. Terri is a Trustee of the Alfred I. Dupont Charitable Trust, which provides oversight of the Nemours Foundation. She is the Chair of the Board of the University of Delaware and she is a member of the Board of Directors of United Rentals, Inc. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2015 (Second term expires in 2023) | Member of the Supervisory Board since 2021 (First term expires in 2025) | Member of the Supervisory Board since 2018 (Second term expires in 2026) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Chair of the Audit Committee and member of the Remuneration Committee | Member of the Audit Committee | Chair of the Remuneration Committee, member of the Selection and Nomination Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Birgit Conix became a member of the Supervisory Board in 2021. Birgit has been CFO and a member of the Management Board of Sonova Holding AG since June 2021. From 2018 until January 1, 2021, Birgit was a member of the Executive Board and CFO of TUI AG. Prior to that, she was the CFO of the Belgian media, cable and telecommunications company Telenet Group N.V. Prior to that, she held various management positions in finance at Johnson & Johnson, Heineken, Tenneco and Reed Elsevier. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Rolf-Dieter Schwalb has been a member of the Supervisory Board since 2015. He was CFO and member of the Board of Management of Royal DSM N.V. from 2006 to 2014. Prior to that, he was CFO and member of the Executive Board of Beiersdorf AG. He also held a variety of management positions in Finance, IT and Internal Audit at Beiersdorf AG and Procter & Gamble Co. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
An L. Steegen (1971, Belgian) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2022 (First term expires in 2026) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
D. Warren A. East (1961, British) | Member of the Technology Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2020 (First term expires in 2024) | An Steegen joined the Supervisory Board in 2022. She is co-CEO and member of the Board of Directors of Barco N.V., a position she has held since October 2021. Prior to that, An was R&D director at IBM Semiconductor and Executive Vice President at the research institute imec in Belgium. Furthermore, An was CTO and Executive Vice President Electronic and Electro-Optical Materials at Umicore. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Audit Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Warren East became a member of the Supervisory Board in 2020. Warren was CEO of Rolls-Royce Group Plc from 2015 until December 2022. He spent his early career at Texas Instruments Ltd from 1985 to 1994. He then joined ARM Holdings, Plc, where he held various management positions and was appointed CEO from 2001 to 2013. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 157 | |||||||||||||||
Other Board-related matters |
Board Diversity Matrix (status per December 31, 2022) | |||||||||||||||||||||||
Female | Male | Non-Binary | Did not Disclose | ||||||||||||||||||||
Part I: Gender Identity | |||||||||||||||||||||||
Directors | 4 (2021: 3) | 5 (2021: 5) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Part II: Demographic Background | |||||||||||||||||||||||
Underrepresented Individual in Home Country Jurisdiction | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
LGBTQI+ | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Did Not Disclose Demographic Background | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Country of Principal Executive Offices | The Netherlands | ||||
Foreign Private Issuer | Yes | ||||
Disclosure Prohibited under Home Country Law | No | ||||
Total Number of Supervisory Board members | 9 (2021: 8) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 158 | |||||||||||||||
Other Board-related matters (continued) |
We recognize the importance of diversity and inclusion.” | |||||
Christophe Fouquet | |||||
Executive Vice President, Chief Business Officer and member of the Board of Management |
12% | ||||||||
Target 2024 representation of women at leadership level |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 159 | |||||||||||||||
Other Board-related matters (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 160 | |||||||||||||||
AGM and share capital |
We highly value the interaction with our shareholders.” | |||||
Gerard Kleisterlee | |||||
Chair of the Supervisory Board |
–Discussion of the management report and the adoption of the financial statements over the past financial year; –Discussion of the dividend policy and approval of any proposed dividends; –Advisory vote on the Remuneration Report over the past financial year; –The discharge from liability of the members of the Board of Management and the Supervisory Board for the performance of their responsibilities in the previous financial year; –The limited authorization for the Board of Management to issue (rights to) shares in ASML’s capital, and to exclude preemptive rights for such issuances, as well as to repurchase shares and to cancel shares; and –Any other topics proposed by the Board of Management, the Supervisory Board or shareholders in accordance with Dutch law and the Articles of Association. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 161 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 162 | |||||||||||||||
AGM and share capital (continued) |
ASML’s authorized share capital amounts to €126.0 million and is divided into: | |||||||||||||||||||||||
Type of shares | Number of shares | Nominal value | Votes per share | ||||||||||||||||||||
Cumulative preference shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
Ordinary shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
The issued and fully paid up ordinary shares with a nominal value of €0.09 each were as follows: | |||||||||||||||||||||||
Year ended December 31 | 2020 | 2021 | 2022 | ||||||||||||||||||||
Issued ordinary shares with nominal value of €0.09 | 416,514,034 | 402,601,613 | 394,589,411 | ||||||||||||||||||||
Issued ordinary treasury shares with nominal value of €0.09 | 2,983,454 | 3,873,663 | 8,548,631 | ||||||||||||||||||||
Total issued ordinary shares with nominal value of €0.09 | 419,497,488 | 406,475,276 | 403,138,042 | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 163 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 164 | |||||||||||||||
AGM and share capital (continued) |
Shares | % of Class6 | |||||||
Capital Research and Management Company1 | 40,615,837 | 10.29 | % | |||||
BlackRock Inc.2 | 32,539,755 | 8.25 | % | |||||
T. Rowe Price Group, Inc.3 | 13,527,385 | 3.43 | % | |||||
Members of ASML’s current Board of Management (5 persons)4,5 | 89,892 | 0.02 | % |
(€, in millions) | Netherlands | US | Taiwan | South -Korea | China | ||||||||||||
Total net external sales | 69 | 1,635 | 7,355 | 6,256 | 2,673 | ||||||||||||
Total net internal sales | 19,388 | 2,213 | 1,651 | 571 | 266 | ||||||||||||
Income before income taxes | 5,983 | 297 | 56 | 183 | 39 | ||||||||||||
Income tax expense (actual)1 | 894 | (54) | 17 | 60 | 14 | ||||||||||||
Income tax paid2 | 818 | 215 | 93 | 41 | 24 |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 165 | |||||||||||||||
Financial reporting and audit |
ASML publishes, among others, the following annual reports regarding the financial year 2022: | Both reports have the same qualitative base and describe the same risk factors that are specific to the semiconductor industry, ASML and ASML’s shares. We also provide sensitivity analyses by providing: | ||||||||||||||||
–The statutory Annual Report, prepared in accordance with the requirements of Dutch law. The financial statements included therein are prepared in accordance with Part 9 of Book 2 of the Dutch Civil Code and EU-IFRS; and –The Annual Report on Form 20-F, prepared in accordance with the requirements of the Exchange Act. The financial statements included therein are prepared in conformity with US GAAP. | |||||||||||||||||
–A narrative explanation of ASML’s financial statements; –The context within which financial information should be analyzed; and –Information about the quality, and variability, of our earnings and cash flow. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 166 | |||||||||||||||
Financial reporting and audit (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 167 | |||||||||||||||
Compliance with Corporate Governance requirements |
Practices followed by ASML in lieu of NASDAQ rules | |||||
Quorum | ASML does not follow NASDAQ’s quorum requirements applicable to meetings of ordinary shareholders. In accordance with Dutch law and generally accepted Dutch business practice, ASML’s Articles of Association provide that there are no quorum requirements generally applicable to general meetings of shareholders. | ||||
Solicitation of proxies | ASML does not follow NASDAQ’s requirements regarding the solicitation of proxies and the provision of proxy statements for general meetings of shareholders. ASML does furnish proxy statements and solicit proxies for the General Meeting. Dutch corporate law sets a mandatory (participation and voting) record date for Dutch listed companies at the 28th day prior to the date of the General Meeting. Shareholders registered at such a record date are entitled to attend and exercise their rights as shareholders at the General Meeting, regardless of sale of shares after the record date. | ||||
Distribution of Annual Report | ASML does not follow NASDAQ’s requirement regarding distribution to shareholders of copies of an annual report containing audited Financial Statements prior to our AGM. The distribution of our annual reports to shareholders is not required under Dutch corporate law or Dutch securities laws, or by Euronext Amsterdam. Furthermore, it is generally accepted business practice for Dutch companies not to distribute annual reports. In part, this is because the Dutch system of bearer shares has made it impractical to keep a current list of holders of the bearer shares in order to distribute the annual reports. Instead, we make our Annual Report available at our corporate head office in the Netherlands (and at the offices of our Dutch listing agent as stated in the convening notice for the meeting) no later than 42 days prior to convocation of the AGM. In addition, we post a copy of our Annual Reports on our website prior to the AGM. | ||||
Equity compensation arrangements | ASML does not follow NASDAQ’s requirement to obtain shareholder approval of stock option or purchase plans or other equity compensation arrangements available to officers, directors or employees. It is not required under Dutch law or generally accepted practice for Dutch companies to obtain shareholder approval of equity compensation arrangements available to officers, directors or employees. The General Meeting adopts the Remuneration Policy for the Board of Management, approves equity compensation arrangements for the Board of Management and approves the remuneration for the Supervisory Board. The Remuneration Committee evaluates the achievements of individual members of the Board of Management with respect to the short- and long-term quantitative performance and he full Supervisory Board evaluates the quantitative performance criteria. Equity compensation arrangements for employees are adopted by the Board of Management within limits approved by the General Meeting. |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 168 | |||||||||||||||
Message from the Chair of the Supervisory Board | ||||||||||||||||||||
Another record performance, in challenging circumstances |
The Supervisory Board supervises and advises the Board of Management in performing its management tasks and setting the direction for ASML, focusing on long-term and sustainable value creation. The members of the Supervisory Board are fully independent. |
The Supervisory Board is confident that the full order book – supported by the skills and passion of our outstanding teams – lays a firm foundation for the months and years ahead.” | ||
Gerard Kleisterlee | ||
Chair of the Supervisory Board |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 169 | |||||||||||||||
Message from the Chair of the Supervisory Board (continued) |
CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 156 | ||||||||||||||||
Supervisory Board (continued) |
Rolf-Dieter Schwalb (1952, German) | Birgit M. Conix (1965, Belgian) | Terri L. Kelly (1961, American) | Terri Kelly has been a member of the Supervisory Board since 2018. Previously, she was President and Chief Executive Officer at W.L. Gore & Associates from 2005 until 2018, having worked at Gore since 1983 in various management roles. She also served on Gore’s Board of Directors through July 2018. Terri is a Trustee of the Alfred I. Dupont Charitable Trust, which provides oversight of the Nemours Foundation. She is the Chair of the Board of the University of Delaware and she is a member of the Board of Directors of United Rentals, Inc. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2015 (Second term expires in 2023) | Member of the Supervisory Board since 2021 (First term expires in 2025) | Member of the Supervisory Board since 2018 (Second term expires in 2026) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Chair of the Audit Committee and member of the Remuneration Committee | Member of the Audit Committee | Chair of the Remuneration Committee, member of the Selection and Nomination Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Birgit Conix became a member of the Supervisory Board in 2021. Birgit has been CFO and a member of the Management Board of Sonova Holding AG since June 2021. From 2018 until January 1, 2021, Birgit was a member of the Executive Board and CFO of TUI AG. Prior to that, she was the CFO of the Belgian media, cable and telecommunications company Telenet Group N.V. Prior to that, she held various management positions in finance at Johnson & Johnson, Heineken, Tenneco and Reed Elsevier. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Rolf-Dieter Schwalb has been a member of the Supervisory Board since 2015. He was CFO and member of the Board of Management of Royal DSM N.V. from 2006 to 2014. Prior to that, he was CFO and member of the Executive Board of Beiersdorf AG. He also held a variety of management positions in Finance, IT and Internal Audit at Beiersdorf AG and Procter & Gamble Co. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
An L. Steegen (1971, Belgian) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2022 (First term expires in 2026) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
D. Warren A. East (1961, British) | Member of the Technology Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2020 (First term expires in 2024) | An Steegen joined the Supervisory Board in 2022. She is co-CEO and member of the Board of Directors of Barco N.V., a position she has held since October 2021. Prior to that, An was R&D director at IBM Semiconductor and Executive Vice President at the research institute imec in Belgium. Furthermore, An was CTO and Executive Vice President Electronic and Electro-Optical Materials at Umicore. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Audit Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Warren East became a member of the Supervisory Board in 2020. Warren was CEO of Rolls-Royce Group Plc from 2015 until December 2022. He spent his early career at Texas Instruments Ltd from 1985 to 1994. He then joined ARM Holdings, Plc, where he held various management positions and was appointed CEO from 2001 to 2013. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 157 | |||||||||||||||
Other Board-related matters |
Board Diversity Matrix (status per December 31, 2022) | |||||||||||||||||||||||
Female | Male | Non-Binary | Did not Disclose | ||||||||||||||||||||
Part I: Gender Identity | |||||||||||||||||||||||
Directors | 4 (2021: 3) | 5 (2021: 5) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Part II: Demographic Background | |||||||||||||||||||||||
Underrepresented Individual in Home Country Jurisdiction | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
LGBTQI+ | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Did Not Disclose Demographic Background | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||
Country of Principal Executive Offices | The Netherlands | ||||
Foreign Private Issuer | Yes | ||||
Disclosure Prohibited under Home Country Law | No | ||||
Total Number of Supervisory Board members | 9 (2021: 8) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 158 | |||||||||||||||
Other Board-related matters (continued) |
We recognize the importance of diversity and inclusion.” | |||||
Christophe Fouquet | |||||
Executive Vice President, Chief Business Officer and member of the Board of Management |
12% | ||||||||
Target 2024 representation of women at leadership level |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 159 | |||||||||||||||
Other Board-related matters (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 160 | |||||||||||||||
AGM and share capital |
We highly value the interaction with our shareholders.” | |||||
Gerard Kleisterlee | |||||
Chair of the Supervisory Board |
–Discussion of the management report and the adoption of the financial statements over the past financial year; –Discussion of the dividend policy and approval of any proposed dividends; –Advisory vote on the Remuneration Report over the past financial year; –The discharge from liability of the members of the Board of Management and the Supervisory Board for the performance of their responsibilities in the previous financial year; –The limited authorization for the Board of Management to issue (rights to) shares in ASML’s capital, and to exclude preemptive rights for such issuances, as well as to repurchase shares and to cancel shares; and –Any other topics proposed by the Board of Management, the Supervisory Board or shareholders in accordance with Dutch law and the Articles of Association. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 161 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 162 | |||||||||||||||
AGM and share capital (continued) |
ASML’s authorized share capital amounts to €126.0 million and is divided into: | |||||||||||||||||||||||
Type of shares | Number of shares | Nominal value | Votes per share | ||||||||||||||||||||
Cumulative preference shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
Ordinary shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
The issued and fully paid up ordinary shares with a nominal value of €0.09 each were as follows: | |||||||||||||||||||||||
Year ended December 31 | 2020 | 2021 | 2022 | ||||||||||||||||||||
Issued ordinary shares with nominal value of €0.09 | 416,514,034 | 402,601,613 | 394,589,411 | ||||||||||||||||||||
Issued ordinary treasury shares with nominal value of €0.09 | 2,983,454 | 3,873,663 | 8,548,631 | ||||||||||||||||||||
Total issued ordinary shares with nominal value of €0.09 | 419,497,488 | 406,475,276 | 403,138,042 | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 163 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 164 | |||||||||||||||
AGM and share capital (continued) |
Shares | % of Class6 | |||||||
Capital Research and Management Company1 | 40,615,837 | 10.29 | % | |||||
BlackRock Inc.2 | 32,539,755 | 8.25 | % | |||||
T. Rowe Price Group, Inc.3 | 13,527,385 | 3.43 | % | |||||
Members of ASML’s current Board of Management (5 persons)4,5 | 89,892 | 0.02 | % |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 165 | |||||||||||||||
Financial reporting and audit |
ASML publishes, among others, the following annual reports regarding the financial year 2022: | Both reports have the same qualitative base and describe the same risk factors that are specific to the semiconductor industry, ASML and ASML’s shares. We also provide sensitivity analyses by providing: | ||||||||||||||||
–The statutory Annual Report, prepared in accordance with the requirements of Dutch law. The financial statements included therein are prepared in accordance with Part 9 of Book 2 of the Dutch Civil Code and EU-IFRS; and –The Annual Report on Form 20-F, prepared in accordance with the requirements of the Exchange Act. The financial statements included therein are prepared in conformity with US GAAP. | |||||||||||||||||
–A narrative explanation of ASML’s financial statements; –The context within which financial information should be analyzed; and –Information about the quality, and variability, of our earnings and cash flow. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 166 | |||||||||||||||
Financial reporting and audit (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 167 | |||||||||||||||
Compliance with Corporate Governance requirements |
Practices followed by ASML in lieu of NASDAQ rules | |||||
Quorum | ASML does not follow NASDAQ’s quorum requirements applicable to meetings of ordinary shareholders. In accordance with Dutch law and generally accepted Dutch business practice, ASML’s Articles of Association provide that there are no quorum requirements generally applicable to general meetings of shareholders. | ||||
Solicitation of proxies | ASML does not follow NASDAQ’s requirements regarding the solicitation of proxies and the provision of proxy statements for general meetings of shareholders. ASML does furnish proxy statements and solicit proxies for the General Meeting. Dutch corporate law sets a mandatory (participation and voting) record date for Dutch listed companies at the 28th day prior to the date of the General Meeting. Shareholders registered at such a record date are entitled to attend and exercise their rights as shareholders at the General Meeting, regardless of sale of shares after the record date. | ||||
Distribution of Annual Report | ASML does not follow NASDAQ’s requirement regarding distribution to shareholders of copies of an annual report containing audited Financial Statements prior to our AGM. The distribution of our annual reports to shareholders is not required under Dutch corporate law or Dutch securities laws, or by Euronext Amsterdam. Furthermore, it is generally accepted business practice for Dutch companies not to distribute annual reports. In part, this is because the Dutch system of bearer shares has made it impractical to keep a current list of holders of the bearer shares in order to distribute the annual reports. Instead, we make our Annual Report available at our corporate head office in the Netherlands (and at the offices of our Dutch listing agent as stated in the convening notice for the meeting) no later than 42 days prior to convocation of the AGM. In addition, we post a copy of our Annual Reports on our website prior to the AGM. | ||||
Equity compensation arrangements | ASML does not follow NASDAQ’s requirement to obtain shareholder approval of stock option or purchase plans or other equity compensation arrangements available to officers, directors or employees. It is not required under Dutch law or generally accepted practice for Dutch companies to obtain shareholder approval of equity compensation arrangements available to officers, directors or employees. The General Meeting adopts the Remuneration Policy for the Board of Management, approves equity compensation arrangements for the Board of Management and approves the remuneration for the Supervisory Board. The Remuneration Committee evaluates the achievements of individual members of the Board of Management with respect to the short- and long-term quantitative performance and he full Supervisory Board evaluates the quantitative performance criteria. Equity compensation arrangements for employees are adopted by the Board of Management within limits approved by the General Meeting. |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 168 | |||||||||||||||
Message from the Chair of the Supervisory Board | ||||||||||||||||||||
Another record performance, in challenging circumstances |
The Supervisory Board supervises and advises the Board of Management in performing its management tasks and setting the direction for |
The | ||
Gerard Kleisterlee | ||
Chair of |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 169 | |||||||||||||||||||||
Message from the Chair of the Supervisory Board (continued) | ||||||||||||||||||||||||||
Environment, Social and Governance (ESG) matters are increasingly important to us and all our stakeholders. With us and all our stakeholders, from customers and our investors to our people and local communities, there is a growing awareness of the role that all businesses must play in society. The Supervisory Board has spent considerable time evaluating and discussing the company’s ESG strategy and is fully supportive of the decisions that management has made. Energy efficiency, climate action, a circular economy, water management and product safety are key commitments from an environmental perspective. At the same time, our management is working hard to ensure that ASML is an attractive workplace for all and a valued partner in our communities, while supporting the innovation ecosystem and the supply chain. Overarching our Environmental and Social initiatives is a firm commitment to the highest standards of Governance. Engaging with our stakeholders The Supervisory Board continued to visit customers and suppliers during the year in order to learn more about the challenges they face and build engagement at the highest level. We visited Intel, one of our major customers, where we engaged with their senior team to further improve our customer focus, and Zeiss, the supply partner for all our optics, to explore how we could make the supply chain more robust and resilient. Our visits to internal functions including the 5L Warehouse project and the High NA factory gave us a good insights into the expertise we have at ASML and delivered valuable learnings on further improvement required. l We also visited one of our key technology partners, the Advanced Research Center for Nanolithography (ARCNL), where we were impressed by their depth of technical capability. In addition a delegation of the Supervisory Board regularly meets with the Works Council in order to better understand the needs and concerns of our people. Although our thoughts are usually closely aligned with those of the Works Council, we ensure that we engage directly with them to provide a clear communications channel to the feelings of people across our organization. Also Members of the Supervisory Board regularly meet with institutional investors. For instance, the Chair of our Remuneration Committee frequently engages with major investors to ensure that the Remuneration Policy is closely aligned with their expectations. Looking ahead The Supervisory Board is confident that the full order book – supported by the skills and passion of our outstanding teams – lays a firm foundation for the months and years ahead. While geopolitical matters, likely mild recession and the aftermath of COVID-19 will continue to hamper efforts to ensure the supply chain runs smoothly, ASML is well placed to achieve another excellent performance in 2023. At the 2023 AGM, Rolf-Dieter Schwalb and I will step down after having served on ASML's Supervisory Board for eight years. On behalf of the Supervisory Board I would like to express gratitude to Rolf-Dieter for his important contribution to the Supervisory Board, especially as Chairman of the Audit Committee and previously also as Chairman of the Remuneration Committee. During our 8 years on the Board, we were part of a fantastic journey that saw ASML grow with the breakthrough of EUV from a 6 billion revenue company in 2014 to a 21 billion Company in 2022, driven by absolute customer focus, technological prowess and an unbelievably strong “can do” mentality. The journey will continue under our successors. For us it was a pleasure and a privilege to serve. To close, on behalf of the whole Supervisory Board I would once again like to thank every member of our 39,086-strong team for their hard work and sheer enthusiasm throughout 2022.You made it happen! | |||||||||||
Chair of the Supervisory Board, Chair of the Selection and Nomination Committee and member of the Technology Committee | Chair of the Technology Committee, member of the Selection and Nomination Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 156 | |||||||||||||||
Supervisory Board (continued) |
Rolf-Dieter Schwalb (1952, German) | Birgit M. Conix (1965, Belgian) | Terri L. Kelly (1961, American) | Terri Kelly has been a member of the Supervisory Board since 2018. Previously, she was President and Chief Executive Officer at W.L. Gore & Associates from 2005 until 2018, having worked at Gore since 1983 in various management roles. She also served on Gore’s Board of Directors through July 2018. Terri is a Trustee of the Alfred I. Dupont Charitable Trust, which provides oversight of the Nemours Foundation. She is the Chair of the Board of the University of Delaware and she is a member of the Board of Directors of United Rentals, Inc. | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2015 (Second term expires in 2023) | Member of the Supervisory Board since 2021 (First term expires in 2025) | Member of the Supervisory Board since 2018 (Second term expires in 2026) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Chair of the Audit Committee and member of the Remuneration Committee | Member of the Audit Committee | Chair of the |
D. Warren A. East (1961, British) | Member of the Technology Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Supervisory Board since 2020 (First term expires in 2024) | An Steegen joined the Supervisory Board in 2022. She is co-CEO and member of the Board of Directors of Barco N.V., a position she has held since October 2021. Prior to that, An was R&D director at IBM Semiconductor and Executive Vice President at the research institute imec in Belgium. Furthermore, An was CTO and Executive Vice President Electronic and Electro-Optical Materials at Umicore. | |||||||||||||||||||||||||||||||||||||||||||||||||||||||
Member of the Audit Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Warren East became a member of the Supervisory Board in 2020. Warren was CEO of Rolls-Royce Group Plc from 2015 until December 2022. He spent his early career at Texas Instruments Ltd from 1985 to 1994. He then joined ARM Holdings, Plc, where he held various management positions and was appointed CEO from 2001 to 2013. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 157 | |||||||||||||||
Other Board-related matters |
Board Diversity Matrix (status per December 31, 2022) | |||||||||||||||||||||||||||||
Female | Male | Non-Binary | Did not Disclose | ||||||||||||||||||||||||||
Part I: Gender Identity | |||||||||||||||||||||||||||||
Directors | 4 (2021: 3) | 5 (2021: 5) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||||||||
Part II: Demographic Background | |||||||||||||||||||||||||||||
Underrepresented Individual in Home Country Jurisdiction | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||||||||
LGBTQI+ | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||||||||
Did Not Disclose Demographic Background | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |||||||||||||||||||||||||
Country of Principal Executive Offices | The Netherlands | ||||
Foreign Private Issuer | Yes | ||||
Disclosure Prohibited under Home Country Law | No | ||||
Total Number of Supervisory Board members | 9 (2021: 8) |
CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 158 | ||||||||||||||||
Other Board-related matters (continued) |
We recognize the importance of diversity and inclusion.” | |||||
Christophe Fouquet | |||||
Executive Vice President, Chief Business Officer and member of the Board of Management |
12% | ||||||||
Target 2024 representation of women at leadership level |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 159 | |||||||||||||||
Other Board-related matters (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 160 | |||||||||||||||
AGM and share capital |
We highly value the interaction with our shareholders.” | |||||
Gerard Kleisterlee | |||||
Chair of the Supervisory Board |
–Discussion of the management report and the adoption of the financial statements over the past financial year; –Discussion of the dividend policy and approval of any proposed dividends; –Advisory vote on the Remuneration –The discharge from liability of the members of the Board of Management and the Supervisory Board for the performance of their responsibilities in the previous financial year; –The limited authorization for the Board of Management to issue (rights to) shares in ASML’s capital, and to exclude preemptive rights for such issuances, as well as to repurchase shares and to cancel shares; and –Any other topics proposed |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 161 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 162 | |||||||||||||||
AGM and share capital (continued) |
ASML’s authorized share capital amounts to €126.0 million and is divided into: | |||||||||||||||||||||||
Type of shares | Number of shares | Nominal value | Votes per share | ||||||||||||||||||||
Cumulative preference shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
Ordinary shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||||||||
The issued and fully paid up ordinary shares with a nominal value of €0.09 each were as follows: | |||||||||||||||||||||||
Year ended December 31 | 2020 | 2021 | 2022 | ||||||||||||||||||||
Issued ordinary shares with nominal value of €0.09 | 416,514,034 | 402,601,613 | 394,589,411 | ||||||||||||||||||||
Issued ordinary treasury shares with nominal value of €0.09 | 2,983,454 | 3,873,663 | 8,548,631 | ||||||||||||||||||||
Total issued ordinary shares with nominal value of €0.09 | 419,497,488 | 406,475,276 | 403,138,042 | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 163 | |||||||||||||||
AGM and share capital (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 164 | |||||||||||||||
AGM and share capital (continued) |
Shares | % of Class6 | |||||||
Capital Research and Management Company1 | 40,615,837 | 10.29 | % | |||||
BlackRock Inc.2 | 32,539,755 | 8.25 | % | |||||
T. Rowe Price Group, Inc.3 | 13,527,385 | 3.43 | % | |||||
Members of ASML’s current Board of Management (5 persons)4,5 | 89,892 | 0.02 | % |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 165 | |||||||||||||||
Financial reporting and audit |
ASML publishes, among others, the following annual reports regarding the financial year 2022: | Both reports have the same qualitative base and describe the same risk factors that are specific to the semiconductor industry, ASML and ASML’s shares. We also provide sensitivity analyses by providing: | ||||||||||||||||
–The statutory Annual Report, prepared in accordance with the requirements of Dutch law. The financial statements included therein are prepared in accordance with Part 9 of Book 2 of the Dutch Civil Code and EU-IFRS; and –The Annual Report on Form 20-F, prepared in accordance with the requirements of the Exchange Act. The financial statements included therein are prepared in conformity with US GAAP. | |||||||||||||||||
–A narrative explanation of ASML’s financial statements; –The context within which financial information should be analyzed; and –Information about the quality, and variability, of our earnings and cash flow. |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 166 | |||||||||||||||
Financial reporting and audit (continued) |
ASML ANNUAL REPORT 2022 | CORPORATE GOVERNANCE CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 167 | |||||||||||||||
Compliance with Corporate Governance requirements |
Practices followed by ASML in lieu of NASDAQ rules | |||||
Quorum | ASML does not follow NASDAQ’s quorum requirements applicable to meetings of ordinary shareholders. In accordance with Dutch law and generally accepted Dutch business practice, ASML’s Articles of Association provide that there are no quorum requirements generally applicable to general meetings of shareholders. | ||||
Solicitation of proxies | ASML does not follow NASDAQ’s requirements regarding the solicitation of proxies and the provision of proxy statements for general meetings of shareholders. ASML does furnish proxy statements and solicit proxies for the General Meeting. Dutch corporate law sets a mandatory (participation and voting) record date for Dutch listed companies at the 28th day prior to the date of the General Meeting. Shareholders registered at such a record date are entitled to attend and exercise their rights as shareholders at the General Meeting, regardless of sale of shares after the record date. | ||||
Distribution of Annual Report | ASML does not follow NASDAQ’s requirement regarding distribution to shareholders of copies of an annual report containing audited Financial Statements prior to our AGM. The distribution of our annual reports to shareholders is not required under Dutch corporate law or Dutch securities laws, or by Euronext Amsterdam. Furthermore, it is generally accepted business practice for Dutch companies not to distribute annual reports. In part, this is because the Dutch system of bearer shares has made it impractical to keep a current list of holders of the bearer shares in order to distribute the annual reports. Instead, we make our Annual Report available at our corporate head office in the Netherlands (and at the offices of our Dutch listing agent as stated in the convening notice for the meeting) no later than 42 days prior to convocation of the AGM. In addition, we post a copy of our Annual Reports on our website prior to the AGM. | ||||
Equity compensation arrangements | ASML does not follow NASDAQ’s requirement to obtain shareholder approval of stock option or purchase plans or other equity compensation arrangements available to officers, directors or employees. It is not required under Dutch law or generally accepted practice for Dutch companies to obtain shareholder approval of equity compensation arrangements available to officers, directors or employees. The General Meeting adopts the Remuneration Policy for the Board of Management, Supervisory Board. The Remuneration Committee |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 168 | |||||||||||||||
Message from the Chair of the Supervisory Board | ||||||||||||||||||||
Another record performance, in |
The Supervisory Board supervises and advises the Board of |
The Supervisory Board is confident that the full order book – supported by the skills and passion of our outstanding teams – lays a firm foundation for the months and years ahead.” | ||
Gerard Kleisterlee | ||
Chair of the Supervisory Board |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 169 | |||||||||||||||
Message from the Chair of the Supervisory Board (continued) |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 170 | ||||||||||||||||||
Supervisory Board focus in 2022 | |||||||||||||||||||||||
7 | 44% | |||||||
Supervisory Board meetings (2021: 6) | Female members (2021: 38%) | |||||||
95% | 4.0 | |||||||
Attendance rate (2021: 98%) | Years average tenure (2021: 3.9) |
Deep dive | ||||||||||||||
Market developments and ASML capacity | ||||||||||||||
The Supervisory Board discussed with the Board of | ||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 171 | |||||||||||||||
Supervisory Board focus in 2022 (continued) |
Alongside the review.” | ||
Gerard Kleisterlee | ||
Chair of the Supervisory |
Deep dive | ||||||||||||||
Growth | ||||||||||||||
Growth is a central theme that touches on many aspects of ASML. For this reason, growth has also been top of mind for the Supervisory Board | ||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 172 | |||||||||||||||
Supervisory Board focus in 2022 (continued) |
Deep dive: | ||||||||||||||
ESG Sustainability strategy | ||||||||||||||
As a Supervisory Board we consider ESG Sustainability an increasingly important topic. While the Supervisory Board keeps the overall oversight of ESG Sustainability, various ESG Sustainability aspects are discussed at committee level, e.g. reporting in the Audit Committee, diversity in the Selection and Nomination Committee, ESG Sustainability as part of the Board of Management's incentive scheme in the Remuneration Committee and product and technology aspects in the Technology Committee. In 2022, we discussed ASML’s updated ESG Sustainability strategy and execution with the Board of Management. In deep dive sessions specific attention was paid to EUV energy efficiency, which is a key area of focus also given ASML's CO2 reduction ambitions, and the Diversity & Inclusion strategy and the implementation thereof. To underline the importance of ESG Sustainability, the Supervisory Board decided to include in the Board of Management's incentive scheme metrics directly linked to ESG Sustainability strategy, with an increased weighting. | ||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 173 | |||||||||||||||
Supervisory Board focus in 2022 (continued) |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 174 | |||||||||||||||
Meetings and attendance |
Meetings of the Supervisory Board | ||||||||||||||
While most Supervisory Board and Committee meetings of 2022 were held in person, the Supervisory Board also met virtually on some occasions. Using the experience gained from virtual meetings during the COVID-19 pandemic, the Supervisory Board continued to apply a number of solutions developed to benefit the discussion in the meetings, such as organizing break-out sessions in smaller groups to optimize interaction. We also used video for meeting preparation and provided written meeting documents, in order to allow as much time as possible for discussion. The Supervisory Board members provided positive feedback about applying these solutions in the annual evaluation. | ||||||||||||||
Supervisory Board meeting attendance overview | |||||||||||||||||||||||||||||||||||
95% | |||||||||||||||||||||||||||||||||||
Attendance rate | |||||||||||||||||||||||||||||||||||
Name | Supervisory Board | Audit Committee | Remuneration Committee | Selection and Nomination Committee | Technology Committee | ||||||||||||||||||||||||||||||
Gerard Kleisterlee (Chair) | 7/7 | 7/7 | n/a | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
Annet Aris | 6/7 | n/a | 4/4 | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
Birgit Conix | 6/7 | 6/7 | n/a | n/a | n/a | ||||||||||||||||||||||||||||||
Mark Durcan | 7/7 | n/a | n/a | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
Warren East | 6/7 | 5/7 | n/a | n/a | n/a | ||||||||||||||||||||||||||||||
Alexander Everke1 | 4/4 | n/a | 3/3 | n/a | n/a | ||||||||||||||||||||||||||||||
Terri Kelly | 7/7 | n/a | 4/4 | 6/6 | n/a | ||||||||||||||||||||||||||||||
Rolf-Dieter Schwalb | 7/7 | 7/7 | 4/4 | n/a | n/a | ||||||||||||||||||||||||||||||
An Steegen2 | 4/4 | n/a | n/a | n/a | 1/2 | ||||||||||||||||||||||||||||||
Hans Stork3 | 3/3 | n/a | 1/1 | n/a | 3/3 | ||||||||||||||||||||||||||||||
1.Appointed at the AGM on April 29, 2022; also appointed as member of the Remuneration Committee. 2.Appointed at the AGM on April 29, 2022; also appointed as member of the Technology Committee. 3.Stepped down per the AGM on April 29, 2022. |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 175 | |||||||||||||||
Meetings and attendance (continued) |
Supervisory Board skills matrix | |||||||||||||||||||||||||||||||||||||||||
Gerard Kleisterlee (Chair) | Annet Aris | Birgit Conix | Mark Durcan | Warren East | Alexander Everke | Terri Kelly | Rolf-Dieter Schwalb | An Steegen | |||||||||||||||||||||||||||||||||
General skills | |||||||||||||||||||||||||||||||||||||||||
Executive board member of (listed) international company | |||||||||||||||||||||||||||||||||||||||||
Finance/governance | |||||||||||||||||||||||||||||||||||||||||
Remuneration | |||||||||||||||||||||||||||||||||||||||||
Human resources/employee relations | |||||||||||||||||||||||||||||||||||||||||
IT/digital/cyber | |||||||||||||||||||||||||||||||||||||||||
ESG | |||||||||||||||||||||||||||||||||||||||||
ASML-specific skills | |||||||||||||||||||||||||||||||||||||||||
Semiconductor ecosystem | |||||||||||||||||||||||||||||||||||||||||
Deep understanding of semiconductor technology | |||||||||||||||||||||||||||||||||||||||||
High-tech manufacturing/integrated supply chain management | |||||||||||||||||||||||||||||||||||||||||
Business in Asia | |||||||||||||||||||||||||||||||||||||||||
For further information and background on the members of the Supervisory Board, including details on nationality, gender and age, please see the | |||||||||||||||||||||||||||||||||||||||||
Supervisory Board members’ information in Corporate Governance - Supervisory Board. | |||||||||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 176 | |||||||||||||||
Meetings and attendance (continued) |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 177 | |||||||||||||||
Supervisory Board committees |
Supervisory Board | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Audit Committee | Remuneration Committee | Technology Committee | Selection and Nomination Committee | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Assisting in overseeing the integrity and quality of our financial reporting and the effectiveness of risk management and controls | Overseeing the development and implementation of the Remuneration Policies, in cooperation with the Audit and Technology Committee | Providing advice with respect to our technology plans required to execute the business strategy | Assisting with the preparation of the selection criteria and appointment procedures for the Supervisory Board and Board of Management | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
3 | 4 | 4 | 4 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Members | Members | Members | Members | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Read more on page 178 > | Read more on page 190 > | Read more on page 183 > | Read more on page 181 > |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 178 | |||||||||||||||
Supervisory Board committees (continued) |
Audit Committee | ||||||||||||||||||||||||||||||||
The Audit Committee assists the Supervisory Board in overseeing the integrity and quality of our financial reporting and the effectiveness of the internal risk management and internal control systems. | Recurring agenda topics (quarterly) | Attendance | ||||||||||||||||||||||||||||||
–Financial update and financing –Review of the quarterly financial results and press release –Accounting update –Internal control update –Observations of External Auditor –Risk and Internal Audit update –Disclosure Committee report –Legal matters report –Ethics and compliance | In addition to the Audit Committee members, the Chairman of the Supervisory Board attends the Audit Committee meetings whenever possible. The external auditor and the internal auditor have a standing invitation for Audit Committee meetings and attended all Audit Committee meetings in 2022. The CEO, CFO, EVP Finance, Corporate Chief Accountant, the Head of Risk and Business Assurance are invited to the meetings. | |||||||||||||||||||||||||||||||
Members: | Main responsibilities: | |||||||||||||||||||||||||||||||
–Rolf-Dieter Schwalb (Chair) –Birgit Conix –Warren East | –Overseeing the integrity and quality of ASML’s financial statements and related non-financial disclosure and submitting proposals to ensure such integrity; –Overseeing the accounting and financial reporting processes and the audits of the financial statements; –Overseeing the effectiveness of our internal risk management and control systems, including compliance with the relevant legislation and regulations, and the effect of codes of conduct; –Overseeing the integrity and effectiveness of our system of disclosure controls and procedures and our system of internal controls over financial reporting; –Overseeing the External Auditor’s qualifications, independence, performance and determining its compensation; and –Overseeing the functioning of Internal Audit. | |||||||||||||||||||||||||||||||
The members of the Audit Committee are all independent members of the Supervisory Board. The Supervisory Board has determined that both Mr. Schwalb and Ms. Conix qualify as Audit Committee financial experts pursuant to section 407 of the Sarbanes-Oxley Act and Dutch statutory rules, taking into consideration their extensive financial backgrounds and experience. | ||||||||||||||||||||||||||||||||
The overview below provides a number of topics discussed during Audit Committee meetings in 2022, in addition to the recurring agenda topics. | ||||||||||||||||||||||||||||||||
Q1 | Q3 | |||||||||||||||||||||||||||||||
–2021 Annual Report and financial statements US GAAP and EU-IFRS –Accounting deep dive: Balance sheet review –2021 External audit report –Annual reporting process –Cash return: Final dividend 2021 –Fraud-risk assessment –Results of the external auditor evaluation 2021 –Results of the Audit Committee self-evaluation –Annual plans of Risk and Internal Audit –External auditor rotation | –Statutory Interim report 2022 –Financing, capital allocation and dividend policy –Quarterly interim dividend proposal and share buyback program –Compliance deep dive: Finance –Finance and IT transformation program | |||||||||||||||||||||||||||||||
Q2 | Q4 | |||||||||||||||||||||||||||||||
–Approval of external audit plan 2022 –Expense reporting for Board of Management and Supervisory Board 2021 –Security, including IT security –External auditor rotation | –Cash return including Q4 2022 interim dividend proposal and share buyback program –Capital Markets Day messaging –2022 Annual Report process –Long-term financial plan –Annual Plan 2023 –Accounting deep dive: ESG reporting requirements including CSRD –Annual tax update –External audit update –Review of Rules of Procedure for the Audit Committee | |||||||||||||||||||||||||||||||
In Q4 2022, the Audit Committee performed an accounting deep dive into ESG reporting requirements. | ||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 179 | |||||||||||||||
Supervisory Board committees (continued) |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 180 | |||||||||||||||
Supervisory Board committees (continued) |
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 181 | |||||||||||||||
Supervisory Board committees (continued) |
Selection and Nomination Committee | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
The Selection and Nomination Committee assists the Supervisory Board in relation to its responsibilities over the composition and functioning of the Supervisory Board and the Board of Management and | Recurring agenda topics | Attendance | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
–Role, composition and functioning of the Board of Management
Composition, role and responsibilities of the Board of Management In 2022, the Selection and Nomination Committee devoted significant time to discussing the (future) composition, role and responsibilities of the Board of Management. For example, we reviewed the talent bench and discussed career development of top talent to prepare for future Board of Management roles. The Committee also assessed the functioning of the Board of Management and its individual members. For this purpose, discussions took place with each individual Board of Management member, the outcome of which was discussed with the Committee. During the 2022 AGM, Peter Wennink, Martin van den Brink, Roger Dassen, Christophe Fouquet and Frédéric Schneider-Maunoury were reappointed as members of the Board of Management. Peter Wennink and Martin van Den Brink were reappointed for a term of two years. Roger Dassen, Christophe Fouquet and Frédéric Schneider-Maunoury were appointed for four-year terms. On October 19, we announced the intention to appoint Wayne Allan, EVP and Chief Strategic Sourcing & Procurement Officer, as member of the Board of Management effective per the 2023 AGM. With this appointment, the Board of Management will be expanded to six members. The rationale behind this intended appointment is the increased strategic importance of the Strategic Sourcing & Procurement Officer function for ASML’s strategy. The Selection and Nomination Committee and the Supervisory Board are continuously discussing the succession planning with respect to the Board of Management. Composition, role and responsibilities of the Supervisory Board The Selection and Nomination Committee spent a significant amount of time discussing the Supervisory Board’s composition, profile and rotation schedule, particularly the appointment and reappointment of Supervisory Board members to fill vacancies both in the short and longer term. This discussion resulted among other things in a decision to increase the number of Supervisory Board members to nine effective from the 2022 AGM. The rationale behind this extension is that the Supervisory Board considered it desirable to add an additional member with a background and experience in semiconductor technology and the semiconductor industry. This was seen as particularly important given the growth of ASML in size and complexity as well as in view of the Supervisory Board’s rotation schedule. For the actual changes in composition of the Supervisory Board, reference is made to the section on Supervisory Board composition in this Annual Report. The Selection and Nomination Committee also discussed changes to the composition of the Supervisory Board effective per the 2023 AGM. The Selection and Nomination Committee advised the Supervisory Board on the nomination for appointment of successors to Gerard Kleisterlee and Rolf-Dieter Schwalb, who will retire during the 2023 AGM after having served eight years on our Supervisory Board. Read more in: Changes to Supervisory Board Committees in 2022 The Selection and Nomination Committee also discussed the composition of the Supervisory Board committees in light of the retirement of Hans Stork and the appointment of An Steegen and Alexander Everke. Several changes in the composition of the Supervisory Board Committees took effect per the 2022 AGM. Alexander Everke became a member of the Remuneration Committee upon the retirement of Hans Stork. In the Technology Committee, Hans Stork was succeeded by An Steegen. Read more in: At the end of 2022 and early 2023, the Selection and Nomination Committee discussed the functioning of the individual members of the Supervisory Board as well as the process and outcome of the Supervisory Board’s self-evaluation. Read more in: Corporate governance As part of its responsibility to monitor corporate governance developments, the Selection and Nomination Committee discussed, among other things, the amendments of the Articles of Association and the Rules of Procedure for the Board of Management and the Supervisory Board. In addition, the Selection and Nomination Committee discussed developments with regard to the Dutch gender diversity bill that came into effect on January 1, 2022, and its impact on ASML. The Committee also discussed the amendment of the Dutch Corporate Governance Code as well as matters of interest to investors and shareholder organizations.
Technology Committee | The Technology Committee advises the Supervisory Board with respect to the technology plans required to execute our business strategy. Recurring agenda topics (quarterly) | Attendance | –Product roadmap –Progress Technology Leadership Index In addition to the Technology Committee members, the Committee’s external and internal advisers regularly attended committee meetings. The advisers do not have voting rights. | Members: | Main responsibilities: | Technology Committee meetings in 2022 | –Mark Durcan (Chair) –Annet Aris –Gerard Kleisterlee –An Steegen –Advising on technology trends, the study of potential alternative strategies, the technology strategy, product roadmaps, required technical resources and operational performance in R&D; –Making recommendations to the Supervisory Board on technology-related projects with respect to ASML’s competitive position; and –Discussing the technology targets set to measure short- and long-term performance as well as the achievements related to these, and advising the Remuneration Committee on this topic. In general, the Technology Committee meets at least twice a year and more frequently when deemed necessary. In 2022, the Technology Committee held five meetings. | The Technology Committee is supported by external experts as well as experts from within ASML who act as advisers on the subjects reviewed and discussed. External experts may include representatives of customers, suppliers and partners to increase the Committee’s understanding of the technology and research required to develop our leading-edge systems. | The overview below | Technology Committee meetings in
|
GOVERNANCE | FINANCIALS | 184 | ||||||||||||||||||||||||||||||||
Supervisory Board committees Review of technology programs As in previous years, the Technology Committee’s primary focus in 2022 was on the review of the execution and implementation of technology programs and roadmaps in EUV 0.55 NA (High-NA), EUV 0.33 NA, DUV and Applications. In this respect, the key challenges and opportunities, from a business perspective as well as from a technology standpoint, were reviewed and discussed in depth. During each meeting the Technology Committee also discussed the progress made on the technology targets included in the Technology Leadership Index, a performance measure for the short-term and long-term variable remuneration of the Board of Management. At the beginning of the year, in a meeting especially planned for this purpose, the Technology Committee discussed the final achievements on the technology targets. In the same meeting, new technology targets were set for the new performance period. The Technology Committee subsequently provided advice to the Remuneration Committee and the Supervisory Board. The meeting in Q1 was dedicated to the achievements within the Applications business line. The Technology Committee was presented with a recap of the achievements in 2021 and was informed about the roadmap toward 2027, the market developments, competitive landscape and the opportunities in that respect. In addition, updates were provided on computational lithography, optical metrology, e-beam metrology and control and data products. In Q2, the main focus of the meeting was on the Development & Engineering department of ASML, including its Research department. In addition, a presentation was provided on system engineering within ASML and how this contributes to the product and technology roadmap. The meeting took place at the Advanced Research Center for Nanolithography (ARCNL) in Amsterdam. In addition to a presentation on how ARCNL works and cooperates with ASML, the Technology Committee was provided with a tour of through the ARCNL facilities in Amsterdam. The primary focus of the meeting in Q3 was the achievements and challenges in EUV 0.33 NA and EUV 0.55 NA (High-NA), including an extensive discussion about the biggest risks and opportunities for EUV 0.33 NA. Special attention was paid to the overall roadmap, market developments and EUV field performance as well as the status of new product development. The Technology Committee was informed about the interest and engagement of customers in High-NA, the customer insertion roadmap and node requirements and how supply chain challenges are managed. In addition, the Technology Committee was presented with input regarding the possibilities and the landscape beyond EUV 0.55 NA (High-NA). In Q4, the Technology Committee invited imec to provide its view on the long-term device roadmap for both Logic and Memory, and this was followed by a detailed discussion of the impact of the device roadmap on the lithography roadmap. In addition, the Technology Committee discussed the developments and achievements in DUV. In addition to the product roadmaps and the technology programs, the Technology Committee was informed about the product strategy and the service strategy. Furthermore, the Committee paid attention to the Mature Products & Services business line and the related challenges and opportunities. The Technology Committee’s in-depth technology discussions and the subsequent reporting of the main points of these discussions to the full Supervisory Board increases the Supervisory Board’s understanding of our technology requirements. It also enables the Supervisory Board to adequately supervise the strategic choices we face, including our investment in R&D. | ||||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | SUPERVISORY BOARD REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 185 | |||||||||||||||
Financial Statements and Profit Allocation | ||||||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 186 | |||||||||||||||||||||
Remuneration Report | Message from the Chair of the Remuneration Committee |
A fair and balanced remuneration is our main priority, and this year we have looked to increase the level of transparency around how we reward management in order to attract the right talent. |
Overall, starting from high standards, ASML’s leadership set ambitious targets and was able to resolve and respond to many challenges.” | ||
Terri Kelly | ||
Chair of the Remuneration Committee |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 187 | |||||||||||||||
Message from the Chair of the Remuneration Committee (continued) |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 188 | |||||||||||||||
Remuneration at a glance | ||||||||||||||||||||
Remuneration is an essential tool to motivate and retain the right talent to continue to develop our technology. |
Our remuneration principles for 2022 performance support long-term success and sustainable value | |||||||||||
Competitiveness | The remuneration structure and levels intend to be competitive in the relevant labor market, while at the same time taking into account societal trends and perceptions. | ||||||||||
Alignment | The remuneration policy is aligned with the short-term and long-term incentive policies for ASML senior management and other ASML employees and takes into account internal relativities. | ||||||||||
Long-term orientation | The policy and incentives focus on sustainable and long-term value creation. | ||||||||||
Compliance | ASML adopts the highest standards of good corporate governance. | ||||||||||
Simplicity and transparency | The policy and its execution are as simple as possible and easily understandable to all stakeholders. |
Linking remuneration to purpose and strategy | ||||||||||||||||||||||||||||||||||||||
Purpose | Strategy | Incentive measures | Pay for performance | |||||||||||||||||||||||||||||||||||
Unlocking the potential of people and society by pushing technology to new limits. | Strengthen customer trust | Financial measures | Remuneration outcomes | |||||||||||||||||||||||||||||||||||
Holistic lithography and applications | Customer Orientation | |||||||||||||||||||||||||||||||||||||
DUV competitiveness | ||||||||||||||||||||||||||||||||||||||
Technology leadership | ||||||||||||||||||||||||||||||||||||||
EUV industrialization | ||||||||||||||||||||||||||||||||||||||
High-NA | Leadership in ESG sustainability | |||||||||||||||||||||||||||||||||||||
How we performed in 2022 | ||||||||||||||||||||||||||
Financial (based on US GAAP) | Non-financial | |||||||||||||||||||||||||
€21.2bn | €10.7bn | €6.5bn | 8.1 | |||||||||||||||||||||||
Total sales | Gross profit | Income from operations | Technology Leadership Index score | |||||||||||||||||||||||
(2021: €18.6bn) | (2021: €9.8bn) | (2021: €6.8bn) | (2021: 8.0) | |||||||||||||||||||||||
€8.5bn | €14.14 | 48.2% | 10.8% | |||||||||||||||||||||||
Net cash provided by operating activities | Earning per share | ROAIC (Non-GAAP measure)1 | Dow Jones Sustainability Index | |||||||||||||||||||||||
(2021: €10.8bn) | (2021: €14.36) | (2021: 34.2%) | (2021: 12.1%) |
Relative TSR - ASML vs PHLX |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 189 | |||||||||||||||
Remuneration at a glance (continued) |
We aim to align the total remuneration for our Board of Management to our business strategy through a combination of fixed pay and short- and long- term incentives, underpinned by stretching performance targets. | |||||
€17.0m | |||||
Total remuneration | |||||
99.1% | |||||
Achieved of STI target | |||||
182.2% | |||||
Achieved of LTI target | |||||
34:1 | |||||
CEO vs. average per FTE (based on US GAAP) |
Board of Management | |||||
Peter T.F.M. Wennink | |||||
Total remuneration 2022 (€’000s) | |||||
€4,280 | |||||
Martin A. van den Brink | |||||
Total remuneration 2022 (€’000s) | |||||
€4,279 | |||||
Frédéric J.M. Schneider-Maunoury | |||||
Total remuneration 2022 (€’000s) | |||||
€2,844 | |||||
Roger J.M. Dassen | |||||
Total remuneration 2022 (€’000s) | |||||
€2,834 | |||||
Christophe D. Fouquet | |||||
Total remuneration 2022 (€’000s) | |||||
€2,798 |
Remuneration summary | ||
Base salary and benefit | STI | LTI | ||||||||||||||||||||||||||||||||||||
Stakeholder engagement in 2022 | |||||||||||
During 2022, we consulted with our large shareholders and other stakeholders. The Remuneration Committee also consulted the views of the Board of Management. | |||||||||||
Shareholders | |||||||||||
Number of organizations met | 10 | ||||||||||
Number of meetings | 10 | ||||||||||
Percentage of issued share capital owned | 22% | ||||||||||
Shareholders representatives and proxy advisers | |||||||||||
Number of organizations met | 3 | ||||||||||
Number of meetings | 3 | ||||||||||
Works Council | |||||||||||
Number of organizations met | 1 | ||||||||||
Number of meetings | >5 | ||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 190 | |||||||||||||||
Remuneration Committee |
Remuneration Committee | ||||||||||||||||||||||||||||||||
The Remuneration Committee advises the Supervisory Board and prepares the Supervisory Board’s resolutions with respect to the remuneration of the Board of Management and the Supervisory Board. | Recurring agenda topics (quarterly) | Attendance | ||||||||||||||||||||||||||||||
–Remuneration of the Board of Management –Remuneration of the Supervisory Board –Update on performance on targets for short- and long-term incentives | In addition to the Remuneration Committee members, the Remuneration Committee generally invites the CEO, the EVP HRO, the Head of Compensation and Benefits and in some instances also the CFO to attend (parts of) its meetings. The Remuneration Committee’s external adviser is also invited to attend the Remuneration Committee meetings when deemed necessary. | |||||||||||||||||||||||||||||||
Members: | Main responsibilities: | |||||||||||||||||||||||||||||||
–Terri Kelly (Chair) –Annet Aris –Alexander Everke –Rolf-Dieter Schwalb | –Overseeing the development and implementation of the Remuneration Policy for the Board of Management and preparing the Supervisory Board Remuneration Policy; –Reviewing and proposing to the Supervisory Board corporate goals and objectives relevant to the variable part of the Board of Management’s remuneration; –Carrying out scenario analyses of the possible financial outcomes on the variable remuneration of meeting these goals, as well as exceeding these goals, before proposing these corporate goals and objectives to the Supervisory Board for approval; and –Evaluating the performance of the members of the Board of Management in view of those goals and objectives, and – based on this evaluation – recommending to the Supervisory Board appropriate compensation levels for the members of the Board of Management. | |||||||||||||||||||||||||||||||
Each member is an independent, non-executive member of our Supervisory Board in accordance with the NASDAQ Listing Rules. Ms. Kelly is neither a former member of our Board of Management, nor a member of the management board of another company. Currently, no member of the Remuneration Committee is a member of the management board of another Dutch listed company. | ||||||||||||||||||||||||||||||||
The below overview provides details on the topics discussed during Remuneration Committee meetings in 2022. | ||||||||||||||||||||||||||||||||
Q1 | Q3 | |||||||||||||||||||||||||||||||
–Short Term Incentive Plan: Performance 2021, pay-out 2021 and targets 2022 –Long Term Incentive Plan: share vesting performance period 2019-2021, and conditional grant and targets performance period 2022-2024 –Remuneration Report 2021 –Self-evaluation of Remuneration Committee –Board of Management Remuneration Policy review including stakeholder outreach –Compliance with share ownership requirements | –Progress STI and LTI targets and metrics –Customer Orientation metric –Latest trends in policies and reporting –Report on interaction with the Works Council –Board of Management remuneration 2023, including selection of STI and LTI metrics | |||||||||||||||||||||||||||||||
Q4 | ||||||||||||||||||||||||||||||||
–Progress STI and LTI targets –Board of Management remuneration 2023, including selection of STI and LTI metrics –Benchmark on Supervisory Board remuneration –Update on corporate governance developments: remuneration –Engagement of external auditor for agreed-upon procedures on remuneration –Draft Remuneration Report 2022 –Compliance Board of Management members with share ownership guideline –Share planning AGM period 2023-2024 | ||||||||||||||||||||||||||||||||
Q2 | ||||||||||||||||||||||||||||||||
–No meetings | ||||||||||||||||||||||||||||||||
The Committee will continue to monitor the Board of Management’s performance and make recommendations around compensation levels. | ||||||||||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 191 | |||||||||||||||
Remuneration Committee (continued) |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 192 | |||||||||||||||
Board of Management remuneration |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 193 | |||||||||||||||
Board of Management remuneration (continued) |
The current reference group consists of the following companies: | |||||||||||
Current reference group composition | |||||||||||
Semiconductor equipment companies | |||||||||||
Applied Materials | |||||||||||
Lam Research | |||||||||||
Infineon Technologies | |||||||||||
Linde | |||||||||||
Medtronic | |||||||||||
Novartis | |||||||||||
NXP Semiconductors | |||||||||||
Philips | |||||||||||
Roche | |||||||||||
SAP | |||||||||||
Schneider Electric | |||||||||||
Shell | |||||||||||
Siemens | |||||||||||
Siemens Healthineers | |||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 194 | |||||||||||||||
Board of Management remuneration (continued) |
Maximum variable compensation (on-target) | Market reference | Variable pay as % of base salary (maximum) | 2022 Variable pay as % of base salary (on-target) | ||||||||
Short-term incentive | Determined based on ASML’s relative position in the reference group capped at 50th percentile | Presidents: 120% Other members: 100% | Presidents: 95% Other members: 90% | ||||||||
Long-term incentive | Maximum on-target LTI is capped at 200% of base salary | 200.0 | % | 160.0 | % | ||||||
Total | Presidents: 320% Other members: 300% | Presidents: 255% Other members: 250% |
REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | FINANCIALS | 195 | |||||||||||||||||
Base salary | + | STI Cash bonus | + | LTI Share-based incentive | + | Pension and other benefits | = | Total remuneration |
Fixed remuneration (base salary) | |||||||||||||||||
Link to strategy/rationale | 2022 policy | ||||||||||||||||
Attract, motivate and retain qualified industry professionals for the Board of Management in order to define and achieve strategic goals. | Benchmark | ||||||||||||||||
–Consisting of 20 most relevant technology and R&D oriented companies, including ASML’s talent competitors and business peers and (indirect) customers –Composition of companies in the reference group takes into account ASML’s geographic location – it’s weighted toward European companies (75% weighting), with some US companies (25% weighting) | |||||||||||||||||
STI (cash bonus) | |||||||||||||||||
Link to strategy/rationale | 2022 policy | ||||||||||||||||
Ensure a balanced focus on both the (financial) performance of ASML in the short term, and the sustained company future in terms of technological advancement and customer satisfaction, fueling long-term success. | –Maximum target STI: 120% of base salary for the presidents and 100% for the other BoM members –2022 target STI: 95% of base salary for the presidents and 90% for the other BoM members | ||||||||||||||||
The weight of the individual STI performance metrics is as follows: | |||||||||||||||||
–60% Financial –20% Technology Leadership Index –20% Customer Orientation | |||||||||||||||||
LTI (share-based incentive) | |||||||||||||||||
Link to strategy/rationale | 2022 policy | ||||||||||||||||
Contribute to the strategy, long-term interests and sustainability of ASML using performance measures which balance the direct interest of ASML’s investors, the long-term financial success of ASML, the long-term continuation of technological advancement and the environmental and social dimensions of sustainability. | Maximum target LTI: capped at 200% of base salary 2022 target LTI: 160% of base salary | ||||||||||||||||
The weight of the individual LTI performance metrics is as follows: | |||||||||||||||||
–30% Relative TSR –20-30% ESG measures; 2022 weight: 20% –20-30% Technology Leadership Index; 2022 weight: 20% –20-30% Strategic value drivers; 2022 weight: 30% | |||||||||||||||||
Other elements of fixed remuneration (pension and other benefits) | |||||||||||||||||
Link to strategy/rationale | 2022 policy | ||||||||||||||||
Contribute to the competitiveness of the overall remuneration package and create alignment with market practice. | –Pension arrangement based on the ‘excedent’ (supplementary) arrangement for ASML employees in the Netherlands – a defined contribution plan –Expense reimbursements, such as company car costs, travel expenses, representation allowances, housing costs (gross amount before taxes), social security costs and health and disability insurance costs | ||||||||||||||||
Share ownership guidelines | |||||||||||||||||
Link to strategy/rationale | 2022 policy | ||||||||||||||||
Requirement for a minimum share ownership by members of the Board of Management. Ensure alignment between the interests of the Board of Management members and ASML’s long-term value creation. | –Presidents three times annual base salary, other Board members two times annual base salary –5-year period to comply for new members –Supervisory Board has discretion to allow a temporary deviation in extraordinary circumstances –Any shortfall will be remediated through the next vesting of shares | ||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 196 | |||||||||||||||
Board of Management remuneration (continued) |
Remuneration benchmarking | |||||||||||||||||||||||
Reference group | 2021 policy | 2022 policy | |||||||||||||||||||||
–Consisting of similar-sized European companies from various industry sectors | –Consisting of 20 most relevant technology and R&D orientated companies, including ASML’s competitors and business peers and (indirect) customers –Composition of companies in reference group takes into account ASML’s geographic location – it’s weighted toward European companies (75% weighting), with some US companies (25% weighting) | ||||||||||||||||||||||
Incentive levels | |||||||||||||||||||||||
2021 policy | 2022 policy | ||||||||||||||||||||||
STI | –Target: 80% base salary (presidents and other BoM members) | –Phased increase from 80% of base salary to 120% of base salary for presidents and 100% for the other BoM members | |||||||||||||||||||||
LTI | –Target: 120% base salary (presidents and other BoM members) | –Phased increase from 120% of base salary to 200% of base salary for presidents and other BoM members | |||||||||||||||||||||
Performance measures | |||||||||||||||||||||||
2021 policy | 2022 policy | ||||||||||||||||||||||
STI | The weight of the individual performance metrics: | The weight of the individual performance metrics: | |||||||||||||||||||||
–60% Financial –20% Technology Leadership Index –20% Market Position | –60% Financial –20% Technology Leadership Index –20% Customer Orientation | ||||||||||||||||||||||
LTI | –Threshold pay-out at -20% versus the PHLX index –(Threshold pay-out as 50% of target) | –Proposed performance incentive zone adjusted into percentile-based relative TSR ranking approach instead of fixed range –Reduced vesting level pay-out with 25th percentile performance at 25% of target | |||||||||||||||||||||
The weight of the individual performance metrics: | The weight of the individual performance metrics: | ||||||||||||||||||||||
–40% ROAIC –30% Relative TSR –20% Technology Leadership Index –10% Sustainability | –30% Strategic value drivers –30% Relative TSR –20% Technology Leadership Index –20% ESG | ||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 197 | |||||||||||||||
Board of Management remuneration (continued) |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 198 | |||||||||||||||
Board of Management remuneration (continued) |
Performance metric | Performance Targets2 | Actual Outcome | Payout4 | |||||||||||||||||
Weight | Threshold | Target | Stretch | % target | ||||||||||||||||
Market Position | ||||||||||||||||||||
Availability & market share | 10 | % | * | 122.5 | % | |||||||||||||||
VLSI Survey | 10 | % | Top 5 | Top 3 | Top 2 | Top 2 | 150.0 | % | ||||||||||||
Total Market Position | 20 | % | 136.3 | % | ||||||||||||||||
Technology Leadership Index | 20 | % | 4 | 6 | 10 | 8 | 125.0 | % | ||||||||||||
EBIT Margin (%) 3 | 20 | % | 24 | % | 27 | % | 30 | % | 36 | % | 150.0 | % | ||||||||
EUV Gross Margin % | 20 | % | 43.5 | % | 45.5 | % | 47.5 | % | 46.0 | % | 111.3 | % | ||||||||
Free Cash Flow (€, in millions) 1 | 20 | % | 1,000 | 2,000 | 3,000 | 8,158 | 150.0 | % | ||||||||||||
Total | 100 | % | 134.5 | % |
Performance targets1 | Actual outcome | Pay-out2 | ||||||||||||||||||
Weight | Threshold | Target | Stretch | % target | ||||||||||||||||
EBIT Margin (%) (Non-GAAP measure) | 60% | 33% | 35% | 37% | 34.5 | % | 88.1 | % | ||||||||||||
Customer Orientation | 20% | 105.0 | % | |||||||||||||||||
Consisting of the following equally weighted sub-targets: | ||||||||||||||||||||
Applications market share | 5% | * | 120.0 | % | ||||||||||||||||
DUV output (systems) | 5% | * | — | % | ||||||||||||||||
EUV availability | 5% | * | 150.0 | % | ||||||||||||||||
VLSI customer survey | 5% | Top 5 | Top 3 | Top 2 | Top 2 | 150.0 | % | |||||||||||||
Technology Leadership Index | 20% | 4 | 6 | 10 | 8.1 | 126.3 | % | |||||||||||||
Total | 100% | 99.1 | % |
Weight | |||||
EBIT Margin (%) (Non-GAAP measure) | 60% | ||||
Customer Orientation | 20% | ||||
Consisting of the following equally weighted sub-targets: | |||||
Applications market share | 5% | ||||
DUV output (systems) | 5% | ||||
EUV availability | 5% | ||||
TechInsights (f.k.a. VLSI) customer survey | 5% | ||||
Technology Leadership Index | 20% | ||||
Total | 100% |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 199 | |||||||||||||||
Board of Management remuneration (continued) |
Performance metric | Performance targets | |||||||||||||
Weight | Threshold | Target | Maximum | |||||||||||
Relative TSR | 30% | Lower quartile | Median | Upper quartile | ||||||||||
Normalized three-years average Cash Conversion Rate %1 | 30% | 80.0% | 90.0% | 95.0% | ||||||||||
ESG Measures | 20% | |||||||||||||
Consisting of: | ||||||||||||||
EUV energy use per wafer pass | 7.0 kWh | 6.5 kWh | 6.0 kWh | |||||||||||
Employee engagement | X2 – 4% point | X2 – 3% point | X2 | |||||||||||
% female representation in JG13+ | 10% | 12% | 14% | |||||||||||
Technology Leadership Index | 20% | 4 | 6 | 10 | ||||||||||
Total | 100% |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 200 | |||||||||||||||
Board of Management remuneration (continued) |
Performance targets | Actual performance | Pay-out %2 | ||||||||||||||||||||||||||||||||||||||||||||||||||
Performance metric | Performance metric | Performance Targets | Actual Performance | Payout %2 | Performance metric | Weight | Threshold | Target | Exceed | Stretch | ||||||||||||||||||||||||||||||||||||||||||
Weight | Threshold | Target | Exceed | Stretch | ||||||||||||||||||||||||||||||||||||||||||||||||
Relative TSR | Relative TSR | 30 | % | (20) | % | 0 | % | n/a | 20 | % | 161.1 | % | 200.0 | % | Relative TSR | 30% | (20%) | 0% | n/a | 20% | 41.4% | 200% | ||||||||||||||||||||||||||||||
ROAIC 1 | ROAIC 1 | 40 | % | 27.0 | % | 29.5 | % | 32.0 | % | 34.5 | % | 34.2 | % | 193.3 | % | ROAIC1 | 40% | 29.5% | 31.0% | 32.5% | 34.0% | 48.2% | 200% | |||||||||||||||||||||||||||||
Technology Leadership Index | Technology Leadership Index | 20 | % | 4 | 6 | 8 | 10 | 8.3 | 157.5 | % | Technology Leadership Index | 20% | 4 | 6 | 8 | 10 | 8.3 | 158.3% | ||||||||||||||||||||||||||||||||||
Sustainability | Sustainability | 10 | % | ≤ 16% | ≤ 13% | n/a | ≤ 7% | 12.1 | % | 115.2 | % | Sustainability | 10% | ≤13.5% | ≤11% | n/a | ≤6% | 10.8% | 104.9% | |||||||||||||||||||||||||||||||||
Total | Total | 100 | % | 180.3 | % | 3 | Total | 100% | 182.2% | 3 |
Performance targets | ||||||||||||||
Performance metric | Weight | Threshold | Target | Maximum | ||||||||||
Relative TSR | 30% | As per remuneration policy | ||||||||||||
Normalized three-year average Cash Conversion Rate %1 | 30% | 85% | 90% | 95% | ||||||||||
ESG measures | 20% | |||||||||||||
Consisting of: | ||||||||||||||
Net zero emission (Scope 1+2) with minimum compensation | <37kT compensation | <30kT compensation | <20kT compensation | |||||||||||
Employee engagement | X2 – 4% point | X2 – 2% point | X2 | |||||||||||
Total and JG9+ female Inflow | 22% | 24% | 26% | |||||||||||
Technology Leadership Index | 20% | 4 | 6 | 10 | ||||||||||
Total | 100% |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 201 | |||||||||||||||
Board of Management remuneration (continued) |
BoM Member | Ownership requirement | 2021 base salary in € thousands | Total vested shares | Ownership ratio1 | ||||||||||
P.T.F.M. Wennink | 3x base | 1,020 | 32,485 | 22.51 | ||||||||||
M.A. van den Brink | 3x base | 1,020 | 13,066 | 9.05 | ||||||||||
F.J.M Schneider-Maunoury | 2x base | 694 | 17,506 | 17.83 | ||||||||||
R.J.M. Dassen 2 | 2x base | 694 | 1,613 | 1.64 | ||||||||||
C.D. Fouquet | 2x base | 694 | 3,488 | 3.55 |
Board of Management | Ownership guidelines | 2022 base salary in € thousands | Number of outstanding vested shares | Ownership ratio1 | ||||||||||
P.T.F.M. Wennink | 3x base | 1,020 | 38,047 | 18.79 | ||||||||||
M.A. van den Brink | 3x base | 1,020 | 11,923 | 5.89 | ||||||||||
F.J.M. Schneider-Maunoury | 2x base | 694 | 17,903 | 13.00 | ||||||||||
R.J.M. Dassen | 2x base | 694 | 15,549 | 11.29 | ||||||||||
C.D. Fouquet | 2x base | 694 | 6,470 | 4.70 |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 202 | |||||||||||||||
Board of Management remuneration (continued) |
Board of Management | Financial Year | Base salary | Pension | Other benefits | Total fixed | % Fixed | STI | LTI | Total variable | % Variable | Total Remuneration | Relative proportion fixed vs. variable | ||||||||||||||||||||||||||
P.T.F.M. Wennink | 2021 | 1,020 | 206 | 57 | 1,283 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,820 | 0.36 | ||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
2019 | 1,000 | 207 | 53 | 1,260 | 28.9 | % | 1,070 | 2,031 | 3,101 | 71.1 | % | 4,361 | 0.41 | |||||||||||||||||||||||||
M.A. van den Brink | 2021 | 1,020 | 206 | 56 | 1,282 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,819 | 0.36 | ||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
2019 | 1,000 | 207 | 52 | 1,259 | 28.9 | % | 1,070 | 2,031 | 3,101 | 71.1 | % | 4,360 | 0.41 | |||||||||||||||||||||||||
F.J.M. Schneider- Maunoury | 2021 | 694 | 115 | 36 | 845 | 26.8 | % | 747 | 1,566 | 2,313 | 73.2 | % | 3,158 | 0.37 | ||||||||||||||||||||||||
2020 | 694 | 122 | 36 | 852 | 29.1 | % | 773 | 1,302 | 2,075 | 70.9 | % | 2,927 | 0.41 | |||||||||||||||||||||||||
2019 | 680 | 114 | 30 | 824 | 30.3 | % | 728 | 1,172 | 1,900 | 69.7 | % | 2,724 | 0.43 | |||||||||||||||||||||||||
R.J.M. Dassen | 2021 | 694 | 115 | 51 | 860 | 22.6 | % | 747 | 2,193 | 2,940 | 77.4 | % | 3,800 | 0.29 | ||||||||||||||||||||||||
2020 | 694 | 100 | 51 | 845 | 22.2 | % | 773 | 2,186 | 2,959 | 77.8 | % | 3,804 | 0.29 | |||||||||||||||||||||||||
2019 | 680 | 93 | 47 | 820 | 27.7 | % | 728 | 1,408 | 2,136 | 72.3 | % | 2,956 | 0.38 | |||||||||||||||||||||||||
C.D. Fouquet | 2021 | 694 | 78 | 52 | 824 | 26.3 | % | 747 | 1,566 | 2,313 | 73.7 | % | 3,137 | 0.36 | ||||||||||||||||||||||||
2020 | 694 | 83 | 51 | 828 | 27.8 | % | 773 | 1,374 | 2,147 | 72.2 | % | 2,975 | 0.39 | |||||||||||||||||||||||||
2019 | 680 | 74 | 47 | 801 | 36.4 | % | 728 | 674 | 1,402 | 63.6 | % | 2,203 | 0.57 | |||||||||||||||||||||||||
Total Board of Management | 2021 | 4,122 | 720 | 252 | 5,094 | 25.8 | % | 4,437 | 10,203 | 14,640 | 74.2 | % | 19,734 | 0.35 | ||||||||||||||||||||||||
2020 | 4,122 | 737 | 252 | 5,111 | 27.1 | % | 4,589 | 9,134 | 13,723 | 72.9 | % | 18,834 | 0.37 | |||||||||||||||||||||||||
2019 | 4,040 | 695 | 229 | 4,964 | 29.9 | % | 4,324 | 7,316 | 11,640 | 70.1 | % | 16,604 | 0.43 | |||||||||||||||||||||||||
Board of Management | Financial Year | Base salary | Pension | Other benefits | Total fixed | % Fixed | STI | LTI | Total variable | % Variable | Total Remuneration | Relative proportion fixed vs. variable | ||||||||||||||||||||||||||
P.T.F.M. Wennink | 2022 | 1,020 | 206 | 58 | 1,284 | 30.0 | % | 961 | 2,035 | 2,996 | 70.0 | % | 4,280 | 0.43 | ||||||||||||||||||||||||
2021 | 1,020 | 206 | 57 | 1,283 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,820 | 0.36 | |||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
M.A. van den Brink | 2022 | 1,020 | 206 | 57 | 1,283 | 30.0 | % | 961 | 2,035 | 2,996 | 70.0 | % | 4,279 | 0.43 | ||||||||||||||||||||||||
2021 | 1,020 | 206 | 56 | 1,282 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,819 | 0.36 | |||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
F.J.M. Schneider-Maunoury | 2022 | 694 | 141 | 36 | 871 | 30.6 | % | 619 | 1,354 | 1,973 | 69.4 | % | 2,844 | 0.44 | ||||||||||||||||||||||||
2021 | 694 | 115 | 36 | 845 | 26.8 | % | 747 | 1,566 | 2,313 | 73.2 | % | 3,158 | 0.37 | |||||||||||||||||||||||||
2020 | 694 | 122 | 36 | 852 | 29.1 | % | 773 | 1,302 | 2,075 | 70.9 | % | 2,927 | 0.41 | |||||||||||||||||||||||||
R.J.M. Dassen | 2022 | 694 | 116 | 51 | 861 | 30.4 | % | 619 | 1,354 | 1,973 | 69.6 | % | 2,834 | 0.44 | ||||||||||||||||||||||||
2021 | 694 | 115 | 51 | 860 | 22.6 | % | 747 | 2,193 | 2,940 | 77.4 | % | 3,800 | 0.29 | |||||||||||||||||||||||||
2020 | 694 | 100 | 51 | 845 | 22.2 | % | 773 | 2,186 | 2,959 | 77.8 | % | 3,804 | 0.29 | |||||||||||||||||||||||||
C.D. Fouquet | 2022 | 694 | 78 | 53 | 825 | 29.5 | % | 619 | 1,354 | 1,973 | 70.5 | % | 2,798 | 0.42 | ||||||||||||||||||||||||
2021 | 694 | 78 | 52 | 824 | 26.3 | % | 747 | 1,566 | 2,313 | 73.7 | % | 3,137 | 0.36 | |||||||||||||||||||||||||
2020 | 694 | 83 | 51 | 828 | 27.8 | % | 773 | 1,374 | 2,147 | 72.2 | % | 2,975 | 0.39 | |||||||||||||||||||||||||
Total Board of Management | 2022 | 4,122 | 747 | 255 | 5,124 | 30.1 | % | 3,779 | 8,132 | 11,911 | 69.9 | % | 17,035 | 0.43 | ||||||||||||||||||||||||
2021 | 4,122 | 720 | 252 | 5,094 | 25.8 | % | 4,437 | 10,203 | 14,640 | 74.2 | % | 19,734 | 0.35 | |||||||||||||||||||||||||
2020 | 4,122 | 737 | 252 | 5,111 | 27.1 | % | 4,589 | 9,134 | 13,723 | 72.9 | % | 18,834 | 0.37 | |||||||||||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 203 | |||||||||||||||
Board of Management remuneration (continued) |
Former Board of Management | Financial Year | Base salary | Pension | Other benefits | Total fixed | % Fixed | STI | LTI | Total variable | % Variable | Total Remuneration | Relative proportion fixed vs. variable | ||||||||||||||||||||||||||
F.J. van Hout 1 | 2021 | 231 | 47 | 16 | 294 | 11.4 | % | 243 | 2,036 | 2,279 | 88.6 | % | 2,573 | 0.13 | ||||||||||||||||||||||||
2020 | 694 | 122 | 47 | 863 | 29.4 | % | 773 | 1,302 | 2,075 | 70.6 | % | 2,938 | 0.42 | |||||||||||||||||||||||||
2019 | 680 | 114 | 44 | 838 | 30.6 | % | 728 | 1,172 | 1,900 | 69.4 | % | 2,738 | 0.44 |
Former Board of Management | Financial Year | Base salary | Pension | Other benefits | Total fixed | % Fixed | STI | LTI | Total variable | % Variable | Total Remuneration | Relative proportion fixed vs. variable | ||||||||||||||||||||||||||
F.J. van Hout1 | 2021 | 231 | 47 | 16 | 294 | 11.4 | % | 243 | 2,036 | 2,279 | 88.6 | % | 2,573 | 0.13 | ||||||||||||||||||||||||
2020 | 694 | 122 | 47 | 863 | 29.4 | % | 773 | 1,302 | 2,075 | 70.6 | % | 2,938 | 0.42 |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 204 | |||||||||||||||
Board of Management remuneration (continued) |
Market based element | Non-Market based element | ||||||||||||||||||||||||||||||||||||||||
Board of Management | Grant date | Status | Full control | Number of shares at target | Fair value at grant date | Number of shares at target | Fair value at grant date | Total target shares at grant date | Maximum shares (200%) | Vesting date | Number of shares at vesting date | Year-end share price in year of vesting | End of lock-up date | ||||||||||||||||||||||||||||
P.T.F.M. Wennink | 1/22/21 | Conditional | No | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | n/a | n/a | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | n/a | n/a | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
1/20/17 | Unconditional | No | 3,037 | 145.4 | 7,085 | 110.5 | 10,122 | 20,243 | 1/1/20 | 16,733 | 263.7 | 1/1/22 | |||||||||||||||||||||||||||||
M.A. van den Brink | 1/22/21 | Conditional | No | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | n/a | n/a | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | n/a | n/a | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
1/20/17 | Unconditional | No | 3,037 | 145.4 | 7,085 | 110.5 | 10,122 | 20,243 | 1/1/20 | 16,733 | 263.7 | 1/1/22 | |||||||||||||||||||||||||||||
F.J.M. Schneider- Maunoury | 1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | n/a | n/a | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,502 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
1/20/17 | Unconditional | No | 1,745 | 145.4 | 4,070 | 110.5 | 5,815 | 11,629 | 1/1/20 | 9,613 | 263.7 | 1/1/22 | |||||||||||||||||||||||||||||
R.J.M. Dassen | 1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | n/a | n/a | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/25/19 | Unconditional | No | 3,000 | 169.0 | 7,000 | 148.3 | 10,000 | 20,000 | 1/1/22 | 18,032 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | Unconditional | No | 657 | 274.6 | 1,531 | 185.0 | 2,188 | 4,376 | 1/19/21 | 3,207 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
C.D. Fouquet | 1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | n/a | n/a | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | Unconditional | No | 844 | 274.6 | 1,969 | 185.0 | 2,813 | 5,626 | 1/19/21 | 4,122 | 439.9 | 1/19/23 |
Market-based element | Non-market-based elements | ||||||||||||||||||||||||||||||||||||||||
Board of Management | Grant date | Status | Full control | Number of shares at target | Fair value at grant date | Number of shares at target | Fair value at grant date | Total number of shares at target | Total number of shares at maximum (200%) | Vesting date | Number of vested shares on publication date | Year-end closing share price in year of vesting | End of lock-up date | ||||||||||||||||||||||||||||
P.T.F.M. Wennink | 4/29/22 | Conditional | No | 709 | 596.0 | 1,655 | 533.5 | 2,364 | 4,727 | 1/1/25 | n/a | n/a | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | Conditional | No | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | n/a | n/a | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | Unconditional | No | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | 8,420 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
M.A. van den Brink | 4/29/22 | Conditional | No | 709 | 596.0 | 1,655 | 533.5 | 2,364 | 4,727 | 1/1/25 | n/a | n/a | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | Conditional | No | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | n/a | n/a | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | Unconditional | No | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | 8,420 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
F.J.M. Schneider-Maunoury | 4/29/22 | Conditional | No | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | n/a | n/a | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | Unconditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,502 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
R.J.M. Dassen | 4/29/22 | Conditional | No | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | n/a | n/a | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | Unconditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/25/19 | Unconditional | No | 3,000 | 169.0 | 7,000 | 148.3 | 10,000 | 20,000 | 1/1/22 | 18,032 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | Unconditional | No | 657 | 274.6 | 1,531 | 185.0 | 2,188 | 4,376 | 1/19/21 | 3,207 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
C.D. Fouquet | 4/29/22 | Conditional | No | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | n/a | n/a | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | Conditional | No | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | n/a | n/a | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | Unconditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | Unconditional | No | 844 | 274.6 | 1,969 | 185.0 | 2,813 | 5,626 | 1/19/21 | 4,122 | 439.9 | 1/19/23 |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 205 | |||||||||||||||
Board of Management remuneration (continued) |
Market based element | Non-Market based element | Market-based element | Non-market-based elements | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Former Board of Management | Former Board of Management | Grant date | Status | Full control | Number of shares at target | Fair value at grant date | Number of shares at target | Fair value at grant date | Total target shares at grant date | Maximum shares (200%) | Vesting date | Number of shares at vesting date | Year-end share price in year of vesting | End of lock-up date | Former Board of Management | Grant date | Status | Full control | Number of shares at target | Fair value at grant date | Number of shares at target | Fair value at grant date | Total number of shares at target | Total number of shares at maximum (200%) | Vesting date | Number of vested shares on publication date | Year-end closing share price in year of vesting | End of lock-up date | ||||||||||||||||||||||||||||||||||||||||||||||||||||||
F.J. van Hout | F.J. van Hout | 1/22/21 | Conditional | No | 239 | 635.6 | 557 | 454.9 | 796 | 1,592 | 1/1/24 | n/a | 1/1/26 | F.J. van Hout | 1/22/21 | Conditional | No | 239 | 635.6 | 557 | 454.9 | 796 | 1,592 | 1/1/24 | n/a | 1/1/26 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||
1/24/20 | Conditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | n/a | 1/1/25 | 1/24/20 | Unconditional | No | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | 7/19/19 | Unconditional | No | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||
1/19/18 | Unconditional | No | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,501 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | 1/19/18 | Unconditional | No | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,501 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||
1/20/17 | Unconditional | No | 1,745 | 145.4 | 4,070 | 110.5 | 5,815 | 11,629 | 1/1/20 | 9,613 | 263.7 | 1/1/22 |
Instrument: | Performance | |||||||
Conditional grant on an annual basis based on maximum achievable opportunity. The number of performance shares to be conditionally awarded is calculated using the volume-weighted average share price during the last quarter of the year preceding the conditional award. | ||||||||
Grant | ||||||||
Performance | ||||||||
The shares will become unconditional | ||||||||
Lock-up | The minimum holding period is two years after the vesting date. | |||||||
Upon termination of contract, the transfer restrictions will remain in place during the holding period except in case of decease. | ||||||||
In case a tax payment is due by the members of the Board of Management over the retrieved variable income, performance shares may be partially sold at vesting (‘sell to cover’) in accordance with the law and internal regulations. |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 206 | |||||||||||||||
Board of Management remuneration (continued) |
For the year ended December 31 (€, in thousands) | For the year ended December 31 (€, in thousands) | 2017 | 2018 1 | 2019 | 2020 | 2021 | For the year ended December 31 (€, in thousands) | 20181 | Change (in %)1 | 2019 | Change (in %) | 2020 | Change (in %) | 2021 | Change (in %) | 2022 | Change (in %) | ||||||||||||||||||||||||||||||||
Net sales | Net sales | 8,962,658 | 10,944,016 | 11,820,001 | 13,978,452 | 18,610,994 | Net sales | 10,944,016 | 22 | % | 11,820,001 | 8 | % | 13,978,452 | 18 | % | 18,610,994 | 33 | % | 21,173,448 | 14 | % | |||||||||||||||||||||||||||
Net income based on US GAAP | Net income based on US GAAP | 2,066,679 | 2,591,614 | 2,592,252 | 3,553,670 | 5,883,177 | Net income based on US GAAP | 2,591,614 | 25 | % | 2,592,252 | — | % | 3,553,670 | 37 | % | 5,883,177 | 66 | % | 5,624,209 | (4) | % | |||||||||||||||||||||||||||
Net income based on EU-IFRS | Net income based on EU-IFRS | 2,173,400 | 2,525,515 | 2,581,107 | 3,696,813 | 6,134,595 | Net income based on EU-IFRS | 2,525,515 | 16 | % | 2,581,107 | 2 | % | 3,696,813 | 43 | % | 6,134,595 | 66 | % | 6,395,775 | 4 | % | |||||||||||||||||||||||||||
ASML share price (closing price on Euronext Amsterdam in €) | ASML share price (closing price on Euronext Amsterdam in €) | 145.2 | 137.2 | 263.7 | 397.6 | 706.7 | ASML share price (closing price on Euronext Amsterdam in €) | 137.2 | (6) | % | 263.7 | 92 | % | 397.6 | 51 | % | 706.7 | 78 | % | 503.8 | (29) | % | |||||||||||||||||||||||||||
Average number of payroll employees in FTEs | Average number of payroll employees in FTEs | 15,136 | 18,204 | 22,192 | 24,727 | 28,223 | Average number of payroll employees in FTEs | 18,204 | 20 | % | 22,192 | 22 | % | 24,727 | 11 | % | 28,223 | 14 | % | 33,071 | 17 | % | |||||||||||||||||||||||||||
Remuneration P.T.F.M. Wennink (CEO) | Remuneration P.T.F.M. Wennink (CEO) | 3,455 | 3,433 | 4,361 | 4,564 | 4,820 | Remuneration P.T.F.M. Wennink (CEO) | 3,433 | (1) | % | 4,361 | 27 | % | 4,564 | 5 | % | 4,820 | 6 | % | 4,280 | (11) | % | |||||||||||||||||||||||||||
Remuneration M.A. van den Brink | Remuneration M.A. van den Brink | 3,454 | 3,431 | 4,360 | 4,564 | 4,819 | Remuneration M.A. van den Brink | 3,431 | (1) | % | 4,360 | 27 | % | 4,564 | 5 | % | 4,819 | 6 | % | 4,279 | (11) | % | |||||||||||||||||||||||||||
Remuneration R.J.M. Dassen | Remuneration R.J.M. Dassen | — | 897 | 2,956 | 3,804 | 3,800 | Remuneration R.J.M. Dassen | 897 | — | 2,956 | 230 | % | 3,804 | 29 | % | 3,800 | — | % | 2,834 | (25) | % | ||||||||||||||||||||||||||||
Remuneration F.J. van Hout | 2,276 | 2,177 | 2,738 | 2,938 | 2,573 | ||||||||||||||||||||||||||||||||||||||||||||
Remuneration C.D. Fouquet | Remuneration C.D. Fouquet | — | 1,125 | 2,203 | 2,975 | 3,137 | Remuneration C.D. Fouquet | 1,125 | — | 2,203 | 96 | % | 2,975 | 35 | % | 3,137 | 5 | % | 2,798 | (11) | % | ||||||||||||||||||||||||||||
Remuneration F.J.M. Schneider-Maunoury | Remuneration F.J.M. Schneider-Maunoury | 2,260 | 2,169 | 2,724 | 2,927 | 3,158 | Remuneration F.J.M. Schneider-Maunoury | 2,169 | (4) | % | 2,724 | 26 | % | 2,927 | 7 | % | 3,158 | 8 | % | 2,844 | (10) | % | |||||||||||||||||||||||||||
Average remuneration per FTE 2 | 117 | 115 | 114 | 120 | 122 | ||||||||||||||||||||||||||||||||||||||||||||
Average remuneration per FTE2 based on US GAAP | Average remuneration per FTE2 based on US GAAP | 115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 125 | 2 | % | |||||||||||||||||||||||||||||||||
Internal pay ratio (CEO versus employee remuneration) 2 | 30 | 30 | 38 | 38 | 40 | ||||||||||||||||||||||||||||||||||||||||||||
Average remuneration per FTE2 based on EU-IFRS | Average remuneration per FTE2 based on EU-IFRS | 115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 118 | (3) | % | |||||||||||||||||||||||||||||||||
Internal pay ratio (CEO versus employee remuneration based on US GAAP)2 | Internal pay ratio (CEO versus employee remuneration based on US GAAP)2 | 30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 34 | (15) | % | |||||||||||||||||||||||||||||||||
Internal pay ratio (CEO versus employee remuneration based on EU-IFRS)2 | Internal pay ratio (CEO versus employee remuneration based on EU-IFRS)2 | 30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 36 | (10) | % | |||||||||||||||||||||||||||||||||
Ratio of the percentage increase in annual total compensation for CEO to the percentage increase in average annual remuneration for all employees3 based on US GAAP | Ratio of the percentage increase in annual total compensation for CEO to the percentage increase in average annual remuneration for all employees3 based on US GAAP | (5.5) | n/a | ||||||||||||||||||||||||||||||||||||||||||||||
Ratio of the percentage increase in annual total compensation for CEO to the percentage increase in average annual remuneration for all employees3 based on EU-IFRS | Ratio of the percentage increase in annual total compensation for CEO to the percentage increase in average annual remuneration for all employees3 based on EU-IFRS | 3.7 | n/a |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 207 | |||||||||||||||
Board of Management remuneration (continued) |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 208 | |||||||||||||||
Supervisory Board remuneration |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 209 | |||||||||||||||
Supervisory Board remuneration (continued) |
Fixed remuneration | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
Basic membership fee | Chair of Supervisory Board | €130,000 | ||||||||||||||||||
Vice Chair of Supervisory Board | €94,000 | |||||||||||||||||||
Member of Supervisory Board | €75,000 | |||||||||||||||||||
Chair Audit Committee | €25,500 | |||||||||||||||||||
Member Audit Committee | €18,000 | |||||||||||||||||||
Chair of other Committees | €20,000 | |||||||||||||||||||
Member of other Committees | €14,500 | |||||||||||||||||||
Extra allowance for intercontinental meetings | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
Extra, fixed allowance paid in connection with additional time commitment for intercontinental travel | € 5,000 for each meeting that involves intercontinental travel | |||||||||||||||||||
Expenses | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
Expenses incurred in relation to meeting attendance are reimbursed. In addition, a fixed net cost allowance is paid, covering certain pre-defined out-of-pocket expenses | Depending on level of expenses | |||||||||||||||||||
Chair of Supervisory Board | €1,980 | |||||||||||||||||||
Member of Supervisory Board | €1,380 | |||||||||||||||||||
Loans and guarantees | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
No (personal) loans or guarantees or the like will be granted | Not applicable | |||||||||||||||||||
Shares and share ownership | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
No (rights to) shares are granted by way of remuneration. Any holding of ASML shares is for the purpose of long-term investment. Any trading activity is subject to ASML’s Insider Trading Rules | Not applicable | |||||||||||||||||||
Other arrangements | ||||||||||||||||||||
Description | Value | |||||||||||||||||||
(Re)appointment based on Dutch law and ASML’s Articles of Association. No claw-back, severance or change in control arrangements are in place | Not applicable | |||||||||||||||||||
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 210 | |||||||||||||||
Supervisory Board remuneration (continued) |
Membership fees 2021 | Committee fees 2021 | Allowances 20211 | Proportion fixed vs. variable 2021 | Total remuneration 2021 | Total remuneration 2020 | Total remuneration 2019 | Total remuneration 2018 | Total remuneration 2017 | Membership fees 2022 | Committee fees 2022 | Allowances 2022 | Proportion fixed vs. variable 2022 | Total remuneration 2022 | Total remuneration 2021 | Total remuneration 2020 | Total remuneration 2019 | Total remuneration 2018 | |||||||||||||||||||||||||||||||||||||||||
G.J. Kleisterlee | G.J. Kleisterlee | 125 | 51 | 2 | 100:0 | 178 | 157 | 154 | 138 | 135 | G.J. Kleisterlee | 130 | 53 | 7 | 100:0 | 190 | 178 | 157 | 154 | 138 | ||||||||||||||||||||||||||||||||||||||
A.P. Aris | A.P. Aris | 87 | 39 | 1 | 100:0 | 127 | 95 | 98 | 80 | A.P. Aris | 94 | 44 | 6 | 100:0 | 144 | 127 | 95 | 98 | 80 | |||||||||||||||||||||||||||||||||||||||
B.M. Conix | B.M. Conix | 50 | 12 | 1 | 100:0 | 63 | — | B.M. Conix | 75 | 18 | 6 | 100:0 | 99 | 63 | n/a | |||||||||||||||||||||||||||||||||||||||||||
D.M. Durcan | D.M. Durcan | 74 | 27 | 11 | 100:0 | 112 | 57 | — | D.M. Durcan | 75 | 35 | 16 | 100:0 | 126 | 112 | 57 | n/a | |||||||||||||||||||||||||||||||||||||||||
D.W.A. East | D.W.A. East | 74 | 17 | 2 | 100:0 | 93 | 59 | — | D.W.A. East | 75 | 18 | 6 | 100:0 | 99 | 93 | 59 | n/a | |||||||||||||||||||||||||||||||||||||||||
T.L. Kelly | T.L. Kelly | 74 | 27 | 6 | 100:0 | 107 | 88 | 101 | 60 | — | T.L. Kelly | 75 | 35 | 16 | 100:0 | 126 | 107 | 88 | 101 | 60 | ||||||||||||||||||||||||||||||||||||||
R.D. Schwalb | R.D. Schwalb | 74 | 38 | 1 | 100:0 | 113 | 104 | 101 | 88 | 86 | R.D. Schwalb | 75 | 40 | 1 | 100:0 | 116 | 113 | 104 | 101 | 88 | ||||||||||||||||||||||||||||||||||||||
J.M.C. Stork | 74 | 28 | 11 | 100:0 | 113 | 100 | 118 | 100 | ||||||||||||||||||||||||||||||||||||||||||||||||||
A.F.M. Everke | A.F.M. Everke | 50 | 10 | 6 | 100:0 | 66 | n/a | |||||||||||||||||||||||||||||||||||||||||||||||||||
A.L. Steegen | A.L. Steegen | 50 | 10 | 6 | 100:0 | 66 | n/a | |||||||||||||||||||||||||||||||||||||||||||||||||||
Total | Total | 632 | 239 | 35 | 100:0 | 906 | 660 | 572 | 466 | 401 | Total | 699 | 263 | 70 | 100:0 | 1,032 | 793 | 560 | 454 | 366 |
Membership fees 2021 | Committee fees 2021 | Allowances 20211 | Proportion fixed vs. variable 2021 | Total remuneration 2021 | Total remuneration 2020 | Total remuneration 2019 | Membership fees 2022 | Committee fees 2022 | Allowances 2022 | Proportion fixed vs. variable 2022 | Total remuneration 2022 | Total remuneration 2021 | Total remuneration 2020 | |||||||||||||||||||||||||||||||||
J.M.C. Stork | J.M.C. Stork | 25 | 10 | 5 | 100:0 | 40 | 113 | 100 | ||||||||||||||||||||||||||||||||||||||
D.A. Grose | D.A. Grose | 26 | 10 | — | 100:0 | 36 | 117 | 133 | D.A. Grose | n/a | 36 | 117 | ||||||||||||||||||||||||||||||||||
C.M.S. Smits Nusteling | C.M.S. Smits Nusteling | 23 | 8 | — | 100:0 | 31 | 95 | 91 | C.M.S. Smits Nusteling | n/a | 31 | 95 | ||||||||||||||||||||||||||||||||||
W.H. Ziebart | W.H. Ziebart | — | 30 | 101 | W.H. Ziebart | n/a | n/a | 30 | ||||||||||||||||||||||||||||||||||||||
Total | Total | 49 | 18 | — | 67 | 242 | 325 | Total | 25 | 10 | 5 | 40 | 180 | 342 |
ASML ANNUAL REPORT 2022 | REMUNERATION REPORT CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 211 | |||||||||||||||
Remuneration Report - Other Information |
ASML ANNUAL REPORT 2022 | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 212 |
Financials & Non-financials | ||||||||||||||
IN THIS SECTION | ||||||||||||||
Consolidated Financial Statements | ||||||||||||||
Report of Independent Registered Public Accounting Firm | ||||||||||||||
Consolidated Statements of Operations | ||||||||||||||
Consolidated Statements of Comprehensive Income | ||||||||||||||
Consolidated Balance Sheets | ||||||||||||||
Consolidated Statements of Shareholders’ Equity | ||||||||||||||
Consolidated Statements of Cash Flows | ||||||||||||||
Notes to the Consolidated Financial Statements | ||||||||||||||
Non-financial statements | ||||||||||||||
Assurance Report of the Independent Auditor | ||||||||||||||
About the non-financial information | ||||||||||||||
Non-financial indicators |
ASML ANNUAL REPORT 2022 | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 213 |
Consolidated Financial Statements | ||||||||||||||
IN THIS SECTION | ||||||||||||||
Report of Independent Registered Public Accounting Firm | ||||||||||||||
Consolidated Statements of Operations | ||||||||||||||
Consolidated Statements of Comprehensive Income | ||||||||||||||
Consolidated Balance Sheets | ||||||||||||||
Consolidated Statements of Shareholders’ Equity | ||||||||||||||
Consolidated Statements of Cash Flows | ||||||||||||||
Notes to the Consolidated Financial Statements | ||||||||||||||
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 214 | |||||||||||||||
Report of Independent Registered Public Accounting Firm | ||||||||||||||||||||
To the Shareholders and the Supervisory Board |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 215 | |||||||||||||||
Report of Independent Registered Public Accounting Firm (continued) |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 216 | |||||||||||||||
Consolidated Statements of Operations |
Year ended December 31 (€, in millions, except per share data) | Year ended December 31 (€, in millions, except per share data) | Notes | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions, except per share data) | Notes | 2020 | 2021 | 2022 | |||||||||||||||||||||
Net system sales | Net system sales | 8,996.2 | 10,316.6 | 13,652.8 | Net system sales | 10,316.6 | 13,652.8 | 15,430.3 | |||||||||||||||||||||||
Net service and field option sales | Net service and field option sales | 2,823.8 | 3,661.9 | 4,958.2 | Net service and field option sales | 3,661.9 | 4,958.2 | 5,743.1 | |||||||||||||||||||||||
Total net sales | Total net sales | 2, 3 | 11,820.0 | 13,978.5 | 18,611.0 | Total net sales | 2, 3 | 13,978.5 | 18,611.0 | 21,173.4 | |||||||||||||||||||||
Cost of system sales | Cost of system sales | (4,676.2) | (5,169.3) | (6,482.9) | Cost of system sales | (5,169.3) | (6,482.9) | (7,582.3) | |||||||||||||||||||||||
Cost of service and field option sales | Cost of service and field option sales | (1,864.0) | (2,012.0) | (2,319.1) | Cost of service and field option sales | (2,012.0) | (2,319.1) | (2,891.0) | |||||||||||||||||||||||
Total cost of sales 1 | Total cost of sales 1 | (6,540.2) | (7,181.3) | (8,802.0) | Total cost of sales1 | (7,181.3) | (8,802.0) | (10,473.3) | |||||||||||||||||||||||
Gross profit | Gross profit | 5,279.8 | 6,797.2 | 9,809.0 | Gross profit | 6,797.2 | 9,809.0 | 10,700.1 | |||||||||||||||||||||||
Research and development costs | Research and development costs | (1,968.5) | (2,200.8) | (2,547.0) | Research and development costs | (2,200.8) | (2,547.0) | (3,253.5) | |||||||||||||||||||||||
Selling, general and administrative costs | Selling, general and administrative costs | (520.5) | (544.9) | (725.6) | Selling, general and administrative costs | (544.9) | (725.6) | (945.9) | |||||||||||||||||||||||
Other income | Other income | 10 | — | — | 213.7 | Other income | 10 | — | 213.7 | — | |||||||||||||||||||||
Income from operations | Income from operations | 2,790.8 | 4,051.5 | 6,750.1 | Income from operations | 4,051.5 | 6,750.1 | 6,500.7 | |||||||||||||||||||||||
Interest and other, net | Interest and other, net | 16 | (25.0) | (34.9) | (44.6) | Interest and other, net | 16 | (34.9) | (44.6) | (44.6) | |||||||||||||||||||||
Income before income taxes | Income before income taxes | 2,765.8 | 4,016.6 | 6,705.5 | Income before income taxes | 4,016.6 | 6,705.5 | 6,456.1 | |||||||||||||||||||||||
Income tax expense | Income tax expense | 21 | (191.7) | (551.5) | (1,021.4) | Income tax expense | 21 | (551.5) | (1,021.4) | (969.9) | |||||||||||||||||||||
Income after income taxes | Income after income taxes | 2,574.1 | 3,465.1 | 5,684.1 | Income after income taxes | 3,465.1 | 5,684.1 | 5,486.2 | |||||||||||||||||||||||
Profit from equity method investments | Profit from equity method investments | 9 | 18.2 | 88.6 | 199.1 | Profit from equity method investments | 9 | 88.6 | 199.1 | 138.0 | |||||||||||||||||||||
Net income | Net income | 2,592.3 | 3,553.7 | 5,883.2 | Net income | 3,553.7 | 5,883.2 | 5,624.2 | |||||||||||||||||||||||
Basic net income per ordinary share | Basic net income per ordinary share | 23 | 6.16 | 8.49 | 14.36 | Basic net income per ordinary share | 23 | 8.49 | 14.36 | 14.14 | |||||||||||||||||||||
Diluted net income per ordinary share | Diluted net income per ordinary share | 23 | 6.15 | 8.48 | 14.34 | Diluted net income per ordinary share | 23 | 8.48 | 14.34 | 14.13 | |||||||||||||||||||||
Number of ordinary shares used in computing per share amounts: | Number of ordinary shares used in computing per share amounts: | Number of ordinary shares used in computing per share amounts: | |||||||||||||||||||||||||||||
Basic | Basic | 23 | 420.8 | 418.3 | 409.8 | Basic | 23 | 418.3 | 409.8 | 397.7 | |||||||||||||||||||||
Diluted | Diluted | 23 | 421.6 | 419.1 | 410.4 | Diluted | 23 | 419.1 | 410.4 | 398.0 |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 217 | |||||||||||||||
Consolidated Statements of Comprehensive Income |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | Notes | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | Notes | 2020 | 2021 | 2022 | |||||||||||||||||||||
Net income | Net income | 2,592.3 | 3,553.7 | 5,883.2 | Net income | 3,553.7 | 5,883.2 | 5,624.2 | |||||||||||||||||||||||
Other comprehensive income: | Other comprehensive income: | Other comprehensive income: | |||||||||||||||||||||||||||||
Proportionate share of OCI from equity method investments | Proportionate share of OCI from equity method investments | (19.8) | (1.3) | 22.0 | Proportionate share of OCI from equity method investments | (1.3) | 22.0 | 37.7 | |||||||||||||||||||||||
Foreign currency translation, net of taxes: | Foreign currency translation, net of taxes: | Foreign currency translation, net of taxes: | |||||||||||||||||||||||||||||
Gain (loss) on foreign currency translation and effective portion of hedges | 20.1 | (73.8) | 93.3 | ||||||||||||||||||||||||||||
Gain (loss) on foreign currency translation | Gain (loss) on foreign currency translation | (73.8) | 93.3 | 66.0 | |||||||||||||||||||||||||||
Financial instruments, net of taxes: | Financial instruments, net of taxes: | Financial instruments, net of taxes: | |||||||||||||||||||||||||||||
Gain (loss) on derivative financial instruments | Gain (loss) on derivative financial instruments | 25 | 3.2 | (21.0) | 16.6 | Gain (loss) on derivative financial instruments | 25 | (21.0) | 16.6 | 57.6 | |||||||||||||||||||||
Transfers to net income | Transfers to net income | 25 | (10.7) | (2.3) | 22.2 | Transfers to net income | 25 | (2.3) | 22.2 | (66.5) | |||||||||||||||||||||
Other comprehensive income, net of taxes | Other comprehensive income, net of taxes | (7.2) | (98.4) | 154.1 | Other comprehensive income, net of taxes | (98.4) | 154.1 | 94.8 | |||||||||||||||||||||||
Total comprehensive income, net of taxes | Total comprehensive income, net of taxes | 2,585.1 | 3,455.3 | 6,037.3 | Total comprehensive income, net of taxes | 3,455.3 | 6,037.3 | 5,719.0 | |||||||||||||||||||||||
Attributable to equity holders | Attributable to equity holders | 2,585.1 | 3,455.3 | 6,037.3 | Attributable to equity holders | 3,455.3 | 6,037.3 | 5,719.0 |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 218 | |||||||||||||||
Consolidated Balance Sheets |
As of December 31 (€, in millions, except share and per share data) | Notes | 2021 | 2022 | ||||||||||||||
Assets | |||||||||||||||||
Cash and cash equivalents | 4 | 6,951.8 | 7,268.3 | ||||||||||||||
Short-term investments | 4 | 638.5 | 107.7 | ||||||||||||||
Accounts receivable, net | 5 | 3,028.0 | 5,323.8 | ||||||||||||||
Finance receivables, net | 6 | 1,185.6 | 1,356.7 | ||||||||||||||
Current tax assets | 21 | 42.0 | 33.4 | ||||||||||||||
Contract assets | 2 | 164.6 | 131.9 | ||||||||||||||
Inventories, net | 7 | 5,179.2 | 7,199.7 | ||||||||||||||
Other assets1 | 8 | 1,000.5 | 1,643.4 | ||||||||||||||
Total current assets | 18,190.2 | 23,064.9 | |||||||||||||||
Finance receivables, net | 6 | 383.0 | — | ||||||||||||||
Deferred tax assets | 21 | 1,098.7 | 1,672.8 | ||||||||||||||
Loan receivable2 | 26 | 124.4 | 364.4 | ||||||||||||||
Other assets3 | 8 | 887.0 | 739.8 | ||||||||||||||
Equity method investments | 9 | 892.5 | 923.6 | ||||||||||||||
Goodwill | 11 | 4,555.6 | 4,555.6 | ||||||||||||||
Other intangible assets, net | 12 | 952.1 | 842.4 | ||||||||||||||
Property, plant and equipment, net | 13 | 2,982.7 | 3,944.2 | ||||||||||||||
Right-of-use assets | 14 | 164.8 | 192.7 | ||||||||||||||
Total non-current assets | 12,040.8 | 13,235.5 | |||||||||||||||
Total assets | 30,231.0 | 36,300.4 | |||||||||||||||
As of December 31 (€, in millions, except share and per share data) | Notes | 2020 | 2021 | |||||||||||
Assets | ||||||||||||||
Cash and cash equivalents | 4 | 6,049.4 | 6,951.8 | |||||||||||
Short-term investments | 4 | 1,302.2 | 638.5 | |||||||||||
Accounts receivable, net | 5 | 1,310.3 | 3,028.0 | |||||||||||
Finance receivables, net | 6 | 1,710.5 | 1,185.6 | |||||||||||
Current tax assets | 21 | 67.3 | 42.0 | |||||||||||
Contract assets | 2 | 119.2 | 164.6 | |||||||||||
Inventories, net | 7 | 4,569.4 | 5,179.2 | |||||||||||
Other assets 1 | 8 | 801.7 | 1,000.5 | |||||||||||
Total current assets | 15,930.0 | 18,190.2 | ||||||||||||
Finance receivables, net | 6 | 400.5 | 383.0 | |||||||||||
Deferred tax assets | 21 | 671.5 | 1,098.7 | |||||||||||
Other assets 2 | 8 | 951.5 | 1,011.4 | |||||||||||
Equity method investments | 9 | 820.7 | 892.5 | |||||||||||
Goodwill | 11 | 4,629.1 | 4,555.6 | |||||||||||
Other intangible assets, net | 12 | 1,048.9 | 952.1 | |||||||||||
Property, plant and equipment, net | 13 | 2,470.3 | 2,982.7 | |||||||||||
Right-of-use assets - Operating | 14 | 180.1 | 159.5 | |||||||||||
Right-of-use assets - Finance 3 | 14 | 164.8 | 5.3 | |||||||||||
Total non-current assets | 11,337.4 | 12,040.8 | ||||||||||||
Total assets | 27,267.4 | 30,231.0 | ||||||||||||
Liabilities and shareholders’ equity | ||||||||||||||
Accounts payable 4 | 1,377.9 | 2,116.3 | ||||||||||||
Accrued and other liabilities | 15 | 1,146.0 | 1,435.5 | |||||||||||
Current tax liabilities | 21 | 110.0 | 301.9 | |||||||||||
Current portion of long-term debt | 16 | 15.4 | 509.1 | |||||||||||
Contract liabilities | 2 | 3,954.2 | 7,935.2 | |||||||||||
Total current liabilities | 6,603.5 | 12,298.0 | ||||||||||||
Long-term debt | 16 | 4,662.8 | 4,075.0 | |||||||||||
Deferred and other income tax liabilities | 21 | 238.3 | 240.6 | |||||||||||
Contract liabilities | 2 | 1,639.9 | 3,225.7 | |||||||||||
Accrued and other liabilities | 15 | 257.5 | 251.1 | |||||||||||
Total non-current liabilities | 6,798.5 | 7,792.4 | ||||||||||||
Total liabilities | 13,402.0 | 20,090.4 | ||||||||||||
Ordinary shares; €0.09 nominal value; | ||||||||||||||
699,999,000 shares authorized at December 31, 2021; (2020: 699,999,000) | ||||||||||||||
402,601,613 issued and outstanding at December 31, 2021; (2020: 416,514,034) | ||||||||||||||
Issued and outstanding shares | 37.6 | 36.5 | ||||||||||||
Share premium | 3,780.1 | 3,876.1 | ||||||||||||
Treasury shares at cost | (863.2) | (2,422.8) | ||||||||||||
Retained earnings | 10,731.5 | 8,317.3 | ||||||||||||
Accumulated other comprehensive income | 179.4 | 333.5 | ||||||||||||
Total shareholders’ equity | 22 | 13,865.4 | 10,140.6 | |||||||||||
Total liabilities and shareholders’ equity | 27,267.4 | 30,231.0 |
As of December 31 (€, in millions, except share and per share data) | Notes | 2021 | 2022 | ||||||||||||||
Liabilities and shareholders’ equity | |||||||||||||||||
Accounts payable4 | 2,116.3 | 2,565.2 | |||||||||||||||
Accrued and other liabilities5 | 15 | 1,435.5 | 1,875.9 | ||||||||||||||
Current tax liabilities | 21 | 301.9 | 315.3 | ||||||||||||||
Current portion of long-term debt | 16 | 509.1 | 746.2 | ||||||||||||||
Contract liabilities | 2 | 7,935.2 | 12,481.0 | ||||||||||||||
Total current liabilities | 12,298.0 | 17,983.6 | |||||||||||||||
Long-term debt | 16 | 4,075.0 | 3,514.2 | ||||||||||||||
Deferred and other income tax liabilities | 21 | 240.6 | 267.0 | ||||||||||||||
Contract liabilities | 2 | 3,225.7 | 5,269.9 | ||||||||||||||
Accrued and other liabilities | 15 | 251.1 | 454.9 | ||||||||||||||
Total non-current liabilities | 7,792.4 | 9,506.0 | |||||||||||||||
Total liabilities | 20,090.4 | 27,489.6 | |||||||||||||||
Ordinary shares; €0.09 nominal value; | |||||||||||||||||
700,000,000 shares authorized at December 31, 2022 (2021: 699,999,000) | |||||||||||||||||
394,589,411 issued and outstanding at December 31, 2022 (2021: 402,601,613) | |||||||||||||||||
Issued and outstanding shares | 36.5 | 36.3 | |||||||||||||||
Share premium | 3,876.1 | 3,940.8 | |||||||||||||||
Treasury shares at cost | (2,422.8) | (4,641.3) | |||||||||||||||
Retained earnings | 8,317.3 | 9,046.7 | |||||||||||||||
Accumulated other comprehensive income | 333.5 | 428.3 | |||||||||||||||
Total shareholders’ equity | 22 | 10,140.6 | 8,810.8 | ||||||||||||||
Total liabilities and shareholders’ equity | 30,231.0 | 36,300.4 |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 219 | |||||||||||||||
Consolidated Statements of Shareholders’ Equity |
Notes | Issued and Outstanding Shares | Share Premium | Treasury Shares at Cost | Retained Earnings | OCI1 | Total | |||||||||||||||||||||||
(€, in millions) | Number | Amount | |||||||||||||||||||||||||||
Balance at January 1, 2020 | 419.8 | 38.2 | 3,772.0 | (1,019.6) | 9,523.8 | 277.8 | 12,592.2 | ||||||||||||||||||||||
Components of comprehensive income: | |||||||||||||||||||||||||||||
Net income | — | — | — | — | 3,553.7 | — | 3,553.7 | ||||||||||||||||||||||
Proportionate share of OCI from equity method investments | — | — | — | — | — | (1.3) | (1.3) | ||||||||||||||||||||||
Gain (loss) on foreign currency translation | — | — | — | — | — | (73.8) | (73.8) | ||||||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | (23.3) | (23.3) | |||||||||||||||||||||
Total comprehensive income | — | — | — | — | 3,553.7 | (98.4) | 3,455.3 | ||||||||||||||||||||||
Purchase of treasury shares | 22 | (3.9) | — | — | (1,207.5) | — | — | (1,207.5) | |||||||||||||||||||||
Cancellation of treasury shares | 22 | — | (0.7) | — | 1,262.3 | (1,261.6) | — | — | |||||||||||||||||||||
Share-based payments | 20 | — | — | 53.9 | — | — | — | 53.9 | |||||||||||||||||||||
Issuance of shares | 20 | 0.6 | 0.1 | (45.8) | 101.6 | (18.0) | — | 37.9 | |||||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (1,066.4) | — | (1,066.4) | |||||||||||||||||||||
Balance at December 31, 2020 | 416.5 | 37.6 | 3,780.1 | (863.2) | 10,731.5 | 179.4 | 13,865.4 | ||||||||||||||||||||||
Components of comprehensive income: | |||||||||||||||||||||||||||||
Net income | — | — | — | — | 5,883.2 | — | 5,883.2 | ||||||||||||||||||||||
Proportionate share of OCI from equity method investments | — | — | — | — | — | 22.0 | 22.0 | ||||||||||||||||||||||
Gain (loss) on foreign currency translation | — | — | — | — | — | 93.3 | 93.3 | ||||||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | 38.8 | 38.8 | |||||||||||||||||||||
Total comprehensive income | — | — | — | — | 5,883.2 | 154.1 | 6,037.3 | ||||||||||||||||||||||
Purchase of treasury shares | 22 | (14.4) | — | — | (8,560.3) | — | — | (8,560.3) | |||||||||||||||||||||
Cancellation of treasury shares | 22 | — | (1.2) | — | 6,926.6 | (6,925.4) | — | — | |||||||||||||||||||||
Share-based payments | 20 | — | — | 117.5 | — | — | — | 117.5 | |||||||||||||||||||||
Issuance of shares | 20 | 0.5 | 0.1 | (21.5) | 74.1 | (3.7) | — | 49.0 | |||||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (1,368.3) | — | (1,368.3) | |||||||||||||||||||||
Balance at December 31, 2021 | 402.6 | 36.5 | 3,876.1 | (2,422.8) | 8,317.3 | 333.5 | 10,140.6 |
Issued and Outstanding Shares | Share Premium | Treasury Shares at Cost | Retained Earnings | OCI1 | Total | |||||||||||||||||||||
(€, in millions) | Notes | Number | Amount | |||||||||||||||||||||||
Balance at January 1, 2019 | 421.1 | 38.6 | 3,741.3 | (1,621.8) | 9,197.9 | 285.0 | 11,641.0 | |||||||||||||||||||
Components of comprehensive income: | ||||||||||||||||||||||||||
Net income | — | — | — | — | 2,592.3 | — | 2,592.3 | |||||||||||||||||||
Share of OCI from equity method investments | — | — | — | — | — | (19.8) | (19.8) | |||||||||||||||||||
Foreign currency translation | — | — | — | — | — | 20.1 | 20.1 | |||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | (7.5) | (7.5) | ||||||||||||||||||
Total comprehensive income | — | — | — | — | 2,592.3 | (7.2) | 2,585.1 | |||||||||||||||||||
Purchase of treasury shares | 22 | (1.9) | — | — | (410.0) | — | — | (410.0) | ||||||||||||||||||
Cancellation of treasury shares | 22 | — | (0.5) | — | 902.3 | (901.8) | — | — | ||||||||||||||||||
Share-based payments | 20 | — | — | 74.6 | — | — | — | 74.6 | ||||||||||||||||||
Issuance of shares | 20 | 0.6 | 0.1 | (43.9) | 109.9 | (38.9) | — | 27.2 | ||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (1,325.7) | — | (1,325.7) | ||||||||||||||||||
Balance at December 31, 2019 | 419.8 | 38.2 | 3,772.0 | (1,019.6) | 9,523.8 | 277.8 | 12,592.2 | |||||||||||||||||||
Components of comprehensive income: | ||||||||||||||||||||||||||
Net income | — | — | — | — | 3,553.7 | — | 3,553.7 | |||||||||||||||||||
Share of OCI from equity method investments | — | — | — | — | — | (1.3) | (1.3) | |||||||||||||||||||
Foreign currency translation | — | — | — | — | — | (73.8) | (73.8) | |||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | (23.3) | (23.3) | ||||||||||||||||||
Total comprehensive income | — | — | — | — | 3,553.7 | (98.4) | 3,455.3 | |||||||||||||||||||
Purchase of treasury shares | 22 | (3.9) | — | — | (1,207.5) | — | — | (1,207.5) | ||||||||||||||||||
Cancellation of treasury shares | 22 | — | (0.7) | — | 1,262.3 | (1,261.6) | — | — | ||||||||||||||||||
Share-based payments | 20 | — | — | 53.9 | — | — | — | 53.9 | ||||||||||||||||||
Issuance of shares | 20 | 0.6 | 0.1 | (45.8) | 101.6 | (18.0) | — | 37.9 | ||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (1,066.4) | — | (1,066.4) | ||||||||||||||||||
Balance at December 31, 2020 | 416.5 | 37.6 | 3,780.1 | (863.2) | 10,731.5 | 179.4 | 13,865.4 | |||||||||||||||||||
Components of comprehensive income: | ||||||||||||||||||||||||||
Net income | — | — | — | — | 5,883.2 | — | 5,883.2 | |||||||||||||||||||
Share of OCI from equity method investments | — | — | — | — | — | 22.0 | 22.0 | |||||||||||||||||||
Foreign currency translation | — | — | — | — | — | 93.3 | 93.3 | |||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | 38.8 | 38.8 | ||||||||||||||||||
Total comprehensive income | — | — | — | — | 5,883.2 | 154.1 | 6,037.3 | |||||||||||||||||||
Purchase of treasury shares | 22 | (14.4) | — | — | (8,560.3) | — | — | (8,560.3) | ||||||||||||||||||
Cancellation of treasury shares | 22 | — | (1.2) | — | 6,926.6 | (6,925.4) | — | — | ||||||||||||||||||
Share-based payments | 20 | — | — | 117.5 | — | — | — | 117.5 | ||||||||||||||||||
Issuance of shares | 20 | 0.5 | 0.1 | (21.5) | 74.1 | (3.7) | — | 49.0 | ||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (1,368.3) | — | (1,368.3) | ||||||||||||||||||
Balance at December 31, 2021 | 402.6 | 36.5 | 3,876.1 | (2,422.8) | 8,317.3 | 333.5 | 10,140.6 |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 220 | |||||||||||||||
Consolidated Statements of Shareholders’ Equity (continued) |
Notes | Issued and Outstanding Shares | Share Premium | Treasury Shares at Cost | Retained Earnings | OCI1 | Total | |||||||||||||||||||||||
(€, in millions) | Number | Amount | |||||||||||||||||||||||||||
Balance at December 31, 2021 | 402.6 | 36.5 | 3,876.1 | (2,422.8) | 8,317.3 | 333.5 | 10,140.6 | ||||||||||||||||||||||
Components of comprehensive income: | |||||||||||||||||||||||||||||
Net income | — | — | — | — | 5,624.2 | — | 5,624.2 | ||||||||||||||||||||||
Proportionate share of OCI from equity method investments | — | — | — | — | — | 37.7 | 37.7 | ||||||||||||||||||||||
Gain (loss) on foreign currency translation | — | — | — | — | — | 66.0 | 66.0 | ||||||||||||||||||||||
Gain (loss) on financial instruments | 25 | — | — | — | — | — | (8.9) | (8.9) | |||||||||||||||||||||
Total comprehensive income | — | — | — | — | 5,624.2 | 94.8 | 5,719.0 | ||||||||||||||||||||||
Purchase of treasury shares | 22 | (8.5) | — | — | (4,639.7) | — | — | (4,639.7) | |||||||||||||||||||||
Cancellation of treasury shares | 22 | — | (0.3) | — | 2,333.7 | (2,333.4) | — | — | |||||||||||||||||||||
Share-based payments | 20 | — | — | 68.9 | — | — | — | 68.9 | |||||||||||||||||||||
Issuance of shares | 20 | 0.5 | 0.1 | (4.2) | 87.5 | (1.6) | — | 81.8 | |||||||||||||||||||||
Dividend paid | 22 | — | — | — | — | (2,559.8) | — | (2,559.8) | |||||||||||||||||||||
Balance at December 31, 2022 | 394.6 | 36.3 | 3,940.8 | (4,641.3) | 9,046.7 | 428.3 | 8,810.8 |
ASML ANNUAL REPORT 2022 | CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 221 | |||||||||||||||
Consolidated Statements of Cash Flows |
Year ended December 31 (€, in millions) | Notes | 2020 | 2021 | 2022 | |||||||||||||
Cash Flows from Operating Activities | |||||||||||||||||
Net income | 3,553.7 | 5,883.2 | 5,624.2 | ||||||||||||||
Adjustments to reconcile net income to net cash flows from operating activities: | |||||||||||||||||
Depreciation and amortization1 | 12, 13, 14 | 490.8 | 471.0 | 583.6 | |||||||||||||
Impairment and loss (gain) on disposal | 12, 13 | 5.5 | (15.9) | 39.3 | |||||||||||||
Share-based compensation expense | 18, 20 | 53.9 | 117.5 | 68.9 | |||||||||||||
Gain on sale of subsidiaries | 10 | — | (213.7) | — | |||||||||||||
Inventory reserves | 7 | 192.4 | 180.7 | 278.5 | |||||||||||||
Deferred tax expense (benefit) | 21 | (211.3) | (419.6) | (564.2) | |||||||||||||
Equity method investments2 | 9 | 11.0 | (49.8) | 15.3 | |||||||||||||
Changes in assets and liabilities: | |||||||||||||||||
Accounts receivable, net | 5 | 507.5 | (1,754.9) | (2,338.0) | |||||||||||||
Finance receivables, net | 6 | (1,125.4) | 542.3 | 212.2 | |||||||||||||
Inventories | 7 | (706.7) | (483.2) | (2,080.9) | |||||||||||||
Other assets | 8 | (75.1) | (222.2) | (864.3) | |||||||||||||
Accrued and other liabilities | 15 | 47.5 | 347.6 | 439.7 | |||||||||||||
Accounts payable | 334.3 | 718.6 | 406.2 | ||||||||||||||
Current tax assets and liabilities | 21 | 131.5 | 214.4 | 33.6 | |||||||||||||
Contract assets and liabilities | 2 | 1,418.0 | 5,529.8 | 6,632.7 | |||||||||||||
Net cash provided by operating activities | 4,627.6 | 10,845.8 | 8,486.8 | ||||||||||||||
Cash Flows from Investing Activities | |||||||||||||||||
Purchase of property, plant and equipment3 | 13 | (962.0) | (900.7) | (1,281.8) | |||||||||||||
Purchase of intangible assets | 12 | (38.8) | (39.6) | (37.5) | |||||||||||||
Purchase of short-term investments | 4 | (1,475.5) | (1,162.7) | (334.3) | |||||||||||||
Maturity of short-term investments | 4 | 1,359.1 | 1,826.4 | 864.7 | |||||||||||||
Loans issued and other investments | 26 | (12.2) | (124.4) | (240.0) | |||||||||||||
Proceeds from sale of subsidiaries (net of cash disposed of) | 10 | — | 329.0 | — | |||||||||||||
Acquisition of subsidiaries (net of cash acquired) | 10 | (222.8) | — | — | |||||||||||||
Net cash used in investing activities | (1,352.2) | (72.0) | (1,028.9) | ||||||||||||||
Year ended December 31 (€, in millions) | Notes | 2019 | 2020 | 2021 | ||||||||||
Cash Flows from Operating Activities | ||||||||||||||
Net income | 2,592.3 | 3,553.7 | 5,883.2 | |||||||||||
Adjustments to reconcile net income to net cash flows from operating activities: | ||||||||||||||
Depreciation and amortization 1 | 12, 13, 14 | 448.5 | 490.8 | 471.0 | ||||||||||
Impairment and loss (gain) on disposal | 12, 13 | 7.8 | 5.5 | (15.9) | ||||||||||
Share-based compensation expense | 18, 20 | 74.6 | 53.9 | 117.5 | ||||||||||
Gain on sale of subsidiaries | 10 | — | — | (213.7) | ||||||||||
Inventory reserves | 7 | 221.5 | 192.4 | 180.7 | ||||||||||
Deferred tax expense (benefit) | 21 | (236.8) | (211.3) | (419.6) | ||||||||||
Equity method investments 2 | 9 | 56.9 | 11.0 | (49.8) | ||||||||||
Changes in assets and liabilities: | ||||||||||||||
Accounts receivable, net | 5 | (255.0) | 507.5 | (1,754.9) | ||||||||||
Finance receivables, net | 6 | (95.3) | (1,125.4) | 542.3 | ||||||||||
Inventories | 7 | (404.7) | (706.7) | (483.2) | ||||||||||
Other assets | 8 | (199.1) | (75.1) | (222.2) | ||||||||||
Accrued and other liabilities | 15 | 82.1 | 47.5 | 347.6 | ||||||||||
Accounts payable | (12.1) | 334.3 | 718.6 | |||||||||||
Current tax assets and liabilities | 21 | (202.6) | 131.5 | 214.4 | ||||||||||
Contract assets and liabilities | 2 | 1,198.3 | 1,418.0 | 5,529.8 | ||||||||||
Net cash provided by operating activities | 3,276.4 | 4,627.6 | 10,845.8 | |||||||||||
Cash Flows from Investing Activities | ||||||||||||||
Purchase of property, plant and equipment 3 | 13 | (766.6) | (962.0) | (900.7) | ||||||||||
Purchase of intangible assets | 12 | (119.3) | (38.8) | (39.6) | ||||||||||
Purchase of short-term investments | 4 | (1,291.5) | (1,475.5) | (1,162.7) | ||||||||||
Maturity of short-term investments | 4 | 1,019.0 | 1,359.1 | 1,826.4 | ||||||||||
Loans issued and other investments | 8 | 0.9 | (12.2) | (124.4) | ||||||||||
Proceeds from sale of subsidiaries (net of cash disposed of) | 10 | — | — | 329.0 | ||||||||||
Acquisition of subsidiaries (net of cash acquired) | 10 | — | (222.8) | — | ||||||||||
Net cash used in investing activities | (1,157.5) | (1,352.2) | (72.0) | |||||||||||
Cash Flows from Financing Activities | ||||||||||||||
Dividend paid | 22 | (1,325.7) | (1,066.4) | (1,368.3) | ||||||||||
Purchase of treasury shares | 22 | (410.0) | (1,207.5) | (8,560.3) | ||||||||||
Net proceeds from issuance of shares | 20 | 27.2 | 37.9 | 49.0 | ||||||||||
Net proceeds from issuance of notes, net of issuance costs | 16 | — | 1,486.3 | — | ||||||||||
Repayment of debt and finance lease obligations | 14, 16 | (3.8) | (3.3) | (12.1) | ||||||||||
Net cash used in financing activities | (1,712.3) | (753.0) | (9,891.7) | |||||||||||
Net cash flows | 406.6 | 2,522.4 | 882.1 | |||||||||||
Effect of changes in exchange rates on cash | 4.6 | (5.3) | 20.3 | |||||||||||
Net increase (decrease) in cash and cash equivalents | 411.2 | 2,517.1 | 902.4 | |||||||||||
Cash and cash equivalents at beginning of the year | 4 | 3,121.1 | 3,532.3 | 6,049.4 | ||||||||||
Cash and cash equivalents at end of the year | 4 | 3,532.3 | 6,049.4 | 6,951.8 | ||||||||||
Supplemental Disclosures of Cash Flow Information: | ||||||||||||||
Unpaid portion of property, plant & equipment excluded in investing activities | 85.9 | (46.9) | 29.3 | |||||||||||
Interest received | 38.9 | 32.1 | 36.6 | |||||||||||
Interest paid | (59.9) | (64.1) | (83.0) | |||||||||||
Income taxes paid, net of refunds | (678.7) | (650.2) | (1,235.0) |
Year ended December 31 (€, in millions) | Notes | 2020 | 2021 | 2022 | |||||||||||||
Cash Flows from Financing Activities | |||||||||||||||||
Dividend paid | 22 | (1,066.4) | (1,368.3) | (2,559.8) | |||||||||||||
Purchase of treasury shares | 22 | (1,207.5) | (8,560.3) | (4,639.7) | |||||||||||||
Net proceeds from issuance of shares | 20 | 37.9 | 49.0 | 81.8 | |||||||||||||
Net proceeds from issuance of notes, net of issuance costs | 16 | 1,486.3 | — | 495.6 | |||||||||||||
Repayment of debt and finance lease obligations | 14, 16 | (3.3) | (12.1) | (516.2) | |||||||||||||
Net cash used in financing activities | (753.0) | (9,891.7) | (7,138.3) | ||||||||||||||
Net cash flows | 2,522.4 | 882.1 | 319.6 | ||||||||||||||
Effect of changes in exchange rates on cash | (5.3) | 20.3 | (3.1) | ||||||||||||||
Net increase (decrease) in cash and cash equivalents | 2,517.1 | 902.4 | 316.5 | ||||||||||||||
Cash and cash equivalents at beginning of the year | 4 | 3,532.3 | 6,049.4 | 6,951.8 | |||||||||||||
Cash and cash equivalents at end of the year | 4 | 6,049.4 | 6,951.8 | 7,268.3 | |||||||||||||
Supplemental Disclosures of Cash Flow Information: | |||||||||||||||||
Unpaid portion of property, plant and equipment, excluded in investing activities, included in Accounts payable | (46.9) | 29.3 | 50.3 | ||||||||||||||
Interest received | 32.1 | 36.6 | 42.4 | ||||||||||||||
Interest paid | (64.1) | (83.0) | (82.2) | ||||||||||||||
Income taxes paid, net of refunds | (650.2) | (1,235.0) | (1,734.6) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 222 | |||||||||||||||
Notes to the Consolidated Financial Statements |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 223 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 224 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Goods or services | Nature, timing of satisfying the performance obligations, and significant payment terms | |||||||
New systems (established technologies) | New systems sales include i-line, KrF, ArF, ArFi and | |||||||
Prior to shipment, the majority of our systems undergo a Factory Acceptance Test (FAT) in our cleanroom facilities, effectively replicating the operating conditions that will be present on the customer’s site, in order to verify whether the system meets its standard specifications and any additional technical and performance criteria agreed with the customer. | ||||||||
A system undergoing FAT, is shipped only after all contractual specifications are met or discrepancies from agreed upon specifications are waived and customer sign-off is received for delivery. Each system’s performance is re-tested through a Site Acceptance Test (SAT) after installation at the customer site. We have never failed to successfully complete installation of a system at a customer’s premises; therefore, acceptance at FAT is considered to be proven for established technologies with a history of successful customer acceptances at SAT (equal or better than FAT). | ||||||||
A system not undergoing a FAT or for which some of the testing in our factory is skipped (fast shipments), transfer of control of such a system and revenue recognition will occur upon customer acceptance of the system at SAT after installation is complete. | ||||||||
New system sales do not meet the requirements for over time revenue recognition because our customers do not simultaneously receive and consume the benefits provided by our performance, or control the asset throughout any stage of our production process, as well as the systems are considered to have alternative use. | ||||||||
Used systems | We have no repurchase commitments in our general sales terms and conditions, however we occasionally repurchase systems that we previously manufactured and sold, in order to refurbish and resell the system to a different customer. This repurchase decision is mainly driven by market demand expressed by other customers. | |||||||
Transfer of control of a used system, and recognition of revenue, follow the same logic as for our “New systems (established technologies)”. |
Goods or services | Nature, timing of satisfying the performance obligations, and significant payment terms | |||||||
Field upgrades and options (system enhancements) | Field upgrades and options mainly relate to goods and services that are delivered for systems already installed in the customer factories. Certain upgrades require significant installation efforts, enhancing an asset the customer controls, therefore resulting in transfer of control over the period of installation, measured using the cost incurred method which is estimated using labor hours, as this best depicts the satisfaction of our obligation in transferring control. For the options and other upgrades for which the customer receives and consumes the benefit at the moment of delivery, the transfer of control and recognition of revenue will occur upon delivery. | |||||||
As long as we are not able to make a reliable estimate of the total efforts needed to complete the upgrade, we only recognize revenue to cover costs incurred. Margin will be realized at the earlier of us being able to make a reliable estimate or completion of the upgrade. | ||||||||
New product introduction | We sell new products and services, which are evolutions of our existing technologies. If installation is determined not to be a separate performance or if there is not a sufficient established history of acceptance on FAT, the product is determined to be a “new product introduction”. | |||||||
New product introductions are typically newly developed options to be used within our systems. Transfer of control and revenue recognition for new product introductions occurs after successful installation and customer acceptance at SAT. Once there is an established history of successful installation and customer acceptance, revenue will be recognized consistent with other systems and goods after transfer of control. | ||||||||
Installation | Installation is provided within the selling price of a system. Installation is considered to be distinct as it does not significantly modify the system being purchased and the customer or a third party could be capable of performing the installation themselves, if desired. Transfer of control takes place over the period of installation from delivery through SAT, measured on a straight-line basis, as our performance is satisfied evenly over this period of time. | |||||||
Warranties | We provide standard warranty coverage on our systems for 12 months, providing labor and non-consumable parts necessary to repair our systems during these warranty periods. These standard warranties cannot be purchased and do not provide a service in addition to the general assurance the system will perform as promised. As a result, no revenue is allocated to these standard warranties. | |||||||
Both the extended and enhanced warranties on our systems are accounted for as a separate performance obligation, with transfer of control taking place over the warranty period, measured on a straight-line basis, as this is a stand-ready obligation. |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 225 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Goods or services | Nature, timing of satisfying the performance obligations, and significant payment terms | |||||||
Time-based licenses and related service | Time-based licenses relate to software licenses and the related service which are sold for a period of time. The licenses and the related service are not considered to be individually distinct as the support services are integral to the customer’s ability to continue to use the software license in the rapidly changing technological environment. The transfer of control takes place over the license term, measured on a straight-line basis, as our performance is satisfied evenly over this period of time. Payments are generally made in installments throughout the license term. | |||||||
Application projects | Application projects are node transition and consulting projects which at times may be provided as free service within a volume purchase agreement. Measuring satisfaction of this performance obligation is performed through an input method based on the labor hours expended relative to the estimated total labor hours as this best depicts the transfer of control of these kind of services. | |||||||
Service contracts | Service contracts are entered into with our customers to support our systems used in their ongoing operations during the systems | |||||||
Billable parts and labor | Billable labor represents maintenance services to our systems installed in the customer’s factories while in operation, through purchase orders from our customer. Control over these services is transferred to the customer upon receipt of customer sign-off. | |||||||
Billable parts represent spare parts including optical components relating to our systems installed in the customer’s factories while in operation, through purchase orders from our customer. | ||||||||
Billable parts can be: | ||||||||
Field projects (relocations) | Field projects represent mainly relocation services. Measuring satisfaction of this performance obligation is performed through an input method based on the labor hours expended relative to the estimated total labor hours as this best depicts the transfer of control of our service. | |||||||
OnPulse Maintenance | OnPulse maintenance services are provided over a specified period of time on our light source systems. Payment is determined by the |
Year ended December 31 | Year ended December 31 | Net system sales in units | Net system sales in € millions | Year ended December 31 | Net system sales in units | Net system sales in € millions | ||||||||||
2022 | 2022 | |||||||||||||||
EUV | EUV | 40 | 7,045.3 | |||||||||||||
ArFi | ArFi | 81 | 5,236.5 | |||||||||||||
ArF dry | ArF dry | 28 | 623.7 | |||||||||||||
KrF | KrF | 151 | 1,653.7 | |||||||||||||
I-line | I-line | 45 | 211.5 | |||||||||||||
Metrology & Inspection | Metrology & Inspection | 216 | 659.6 | |||||||||||||
Total | Total | 561 | 15,430.3 | |||||||||||||
2021 | 2021 | 2021 | ||||||||||||||
EUV | EUV | 42 | 6,284.0 | EUV | 42 | 6,284.0 | ||||||||||
ArFi | ArFi | 81 | 4,959.6 | ArFi | 81 | 4,959.6 | ||||||||||
ArF dry | ArF dry | 22 | 431.9 | ArF dry | 22 | 431.9 | ||||||||||
KrF | KrF | 131 | 1,321.3 | KrF | 131 | 1,321.3 | ||||||||||
I-line | I-line | 33 | 142.3 | I-line | 33 | 142.3 | ||||||||||
Metrology & Inspection | Metrology & Inspection | 196 | 513.7 | Metrology & Inspection | 196 | 513.7 | ||||||||||
Total | Total | 505 | 13,652.8 | Total | 505 | 13,652.8 | ||||||||||
2020 | 2020 | 2020 | ||||||||||||||
EUV | EUV | 31 | 4,463.8 | EUV | 31 | 4,463.8 | ||||||||||
ArFi | ArFi | 68 | 3,917.0 | ArFi | 68 | 3,917.0 | ||||||||||
ArF dry | ArF dry | 22 | 427.0 | ArF dry | 22 | 427.0 | ||||||||||
KrF | KrF | 103 | 1,012.3 | KrF | 103 | 1,012.3 | ||||||||||
I-line | I-line | 34 | 146.4 | I-line | 34 | 146.4 | ||||||||||
Metrology & Inspection | Metrology & Inspection | 137 | 350.1 | Metrology & Inspection | 137 | 350.1 | ||||||||||
Total | Total | 395 | 10,316.6 | Total | 395 | 10,316.6 | ||||||||||
2019 | ||||||||||||||||
EUV | 26 | 2,799.7 | ||||||||||||||
ArFi | 82 | 4,707.7 | ||||||||||||||
ArF dry | 22 | 401.2 | ||||||||||||||
KrF | 65 | 679.7 | ||||||||||||||
I-line | 34 | 133.5 | ||||||||||||||
Metrology & Inspection | 115 | 274.4 | ||||||||||||||
Total | 344 | 8,996.2 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 226 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 | Year ended December 31 | Net system sales in units | Net system sales in € millions | Year ended December 31 | Net system sales in units | Net system sales in € millions | ||||||||||
2022 | 2022 | |||||||||||||||
Logic | Logic | 357 | 9,977.6 | |||||||||||||
Memory | Memory | 204 | 5,452.7 | |||||||||||||
Total | Total | 561 | 15,430.3 | |||||||||||||
2021 | 2021 | 2021 | ||||||||||||||
Logic | Logic | 327 | 9,588.5 | Logic | 327 | 9,588.5 | ||||||||||
Memory | Memory | 178 | 4,064.3 | Memory | 178 | 4,064.3 | ||||||||||
Total | Total | 505 | 13,652.8 | Total | 505 | 13,652.8 | ||||||||||
2020 | 2020 | 2020 | ||||||||||||||
Logic | Logic | 260 | 7,393.0 | Logic | 260 | 7,393.0 | ||||||||||
Memory | Memory | 135 | 2,923.6 | Memory | 135 | 2,923.6 | ||||||||||
Total | Total | 395 | 10,316.6 | Total | 395 | 10,316.6 | ||||||||||
2019 | ||||||||||||||||
Logic | 238 | 6,565.3 | ||||||||||||||
Memory | 106 | 2,430.9 | ||||||||||||||
Total | 344 | 8,996.2 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||||||||||||||
Contract Assets | Contract Liabilities | Contract Assets | Contract Liabilities | Contract Assets | Contract Liabilities | Contract Assets | Contract Liabilities | ||||||||||||||||||||||||
Balance at beginning of the year | Balance at beginning of the year | 231.0 | 4,286.0 | 119.2 | 5,594.1 | Balance at beginning of the year | 119.2 | 5,594.1 | 164.6 | 11,160.9 | |||||||||||||||||||||
Transferred from contract assets to accounts receivables | Transferred from contract assets to accounts receivables | (192.2) | — | (268.2) | — | Transferred from contract assets to accounts receivables | (268.2) | — | (393.4) | — | |||||||||||||||||||||
Revenue recognized during the year ending in contract assets | Revenue recognized during the year ending in contract assets | 83.4 | — | 199.7 | — | Revenue recognized during the year ending in contract assets | 199.7 | — | 116.5 | — | |||||||||||||||||||||
Revenue recognized that was included in contract liabilities | Revenue recognized that was included in contract liabilities | — | (2,428.4) | — | (3,767.0) | Revenue recognized that was included in contract liabilities | — | (3,767.0) | — | (6,326.6) | |||||||||||||||||||||
Changes as a result of cumulative catch-up adjustments arising from changes in estimates | Changes as a result of cumulative catch-up adjustments arising from changes in estimates | — | (41.9) | — | 39.7 | Changes as a result of cumulative catch-up adjustments arising from changes in estimates | — | 39.7 | — | (118.0) | |||||||||||||||||||||
Remaining performance obligations for which considerations have been received, or for which we have an unconditional right to consideration | Remaining performance obligations for which considerations have been received, or for which we have an unconditional right to consideration | — | 3,781.4 | — | 9,180.2 | Remaining performance obligations for which considerations have been received, or for which we have an unconditional right to consideration | — | 9,180.2 | — | 12,790.4 | |||||||||||||||||||||
Transfer between contract assets and liabilities | Transfer between contract assets and liabilities | (3.0) | (3.0) | 113.9 | 113.9 | Transfer between contract assets and liabilities | 113.9 | 113.9 | 244.2 | 244.2 | |||||||||||||||||||||
Total | Total | 119.2 | 5,594.1 | 164.6 | 11,160.9 | Total | 164.6 | 11,160.9 | 131.9 | 17,750.9 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 227 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | ||||||||
New systems | 8,807.1 | 10,160.8 | 13,446.1 | ||||||||
Used systems | 189.1 | 155.8 | 206.7 | ||||||||
Net system sales | 8,996.2 | 10,316.6 | 13,652.8 |
Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||
New systems | 10,160.8 | 13,446.1 | 15,152.3 | |||||||||||
Used systems | 155.8 | 206.7 | 278.0 | |||||||||||
Net system sales | 10,316.6 | 13,652.8 | 15,430.3 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | Total net sales | Long-lived assets | Year ended December 31 (€, in millions) | Total net sales | Long-lived assets | ||||||||||
2021 | ||||||||||||||||
2022 | 2022 | |||||||||||||||
Japan | Japan | 459.3 | 5.5 | Japan | 1,008.6 | 7.9 | ||||||||||
South Korea | South Korea | 6,223.0 | 61.2 | South Korea | 6,045.6 | 85.4 | ||||||||||
Singapore | Singapore | 126.2 | 7.3 | Singapore | 475.5 | 5.5 | ||||||||||
Taiwan | Taiwan | 7,327.9 | 163.6 | Taiwan | 8,095.5 | 216.3 | ||||||||||
China | China | 2,740.8 | 17.0 | China | 2,916.0 | 40.8 | ||||||||||
Rest of Asia | Rest of Asia | 1.8 | 0.2 | Rest of Asia | 7.2 | 0.2 | ||||||||||
Netherlands | Netherlands | 14.2 | 2,048.1 | Netherlands | 9.2 | 2,748.5 | ||||||||||
EMEA | EMEA | 134.6 | 124.0 | EMEA | 624.5 | 228.5 | ||||||||||
United States | United States | 1,583.2 | 555.8 | United States | 1,991.3 | 803.8 | ||||||||||
Total | Total | 18,611.0 | 2,982.7 | Total | 21,173.4 | 4,136.9 | ||||||||||
2020 | ||||||||||||||||
Japan | 542.8 | 8.3 | ||||||||||||||
South Korea | 4,151.6 | 34.1 | ||||||||||||||
Singapore | 84.9 | 2.1 | ||||||||||||||
Taiwan | 4,731.3 | 164.3 | ||||||||||||||
China | 2,324.4 | 17.8 | ||||||||||||||
Rest of Asia | 1.6 | 0.4 | ||||||||||||||
Netherlands | 1.6 | 1,625.2 | ||||||||||||||
EMEA | 483.3 | 129.2 | ||||||||||||||
United States | 1,657.0 | 488.9 | ||||||||||||||
Total | 13,978.5 | 2,470.3 | ||||||||||||||
2019 | ||||||||||||||||
Japan | 463.2 | 6.5 | ||||||||||||||
South Korea | 2,202.1 | 24.1 | ||||||||||||||
Singapore | 120.0 | 1.6 | ||||||||||||||
Taiwan | 5,357.0 | 131.6 | ||||||||||||||
China | 1,377.7 | 21.3 | ||||||||||||||
Rest of Asia | 2.6 | 0.5 | ||||||||||||||
Netherlands | 2.6 | 1,396.0 | ||||||||||||||
EMEA | 314.6 | 4.3 | ||||||||||||||
United States | 1,980.2 | 413.4 | ||||||||||||||
Total | 11,820.0 | 1,999.3 |
Year ended December 31 (€, in millions) | Total net sales | Long-lived assets | ||||||
2021 | ||||||||
Japan | 459.3 | 5.5 | ||||||
South Korea | 6,223.0 | 61.2 | ||||||
Singapore | 126.2 | 7.3 | ||||||
Taiwan | 7,327.9 | 163.6 | ||||||
China | 2,740.8 | 17.0 | ||||||
Rest of Asia | 1.8 | 0.2 | ||||||
Netherlands | 14.2 | 2,048.1 | ||||||
EMEA | 134.6 | 124.0 | ||||||
United States | 1,583.2 | 555.8 | ||||||
Total | 18,611.0 | 2,982.7 | ||||||
2020 | ||||||||
Japan | 542.8 | 8.3 | ||||||
South Korea | 4,151.6 | 34.1 | ||||||
Singapore | 84.9 | 2.1 | ||||||
Taiwan | 4,731.3 | 164.3 | ||||||
China | 2,324.4 | 17.8 | ||||||
Rest of Asia | 1.6 | 0.4 | ||||||
Netherlands | 1.6 | 1,625.2 | ||||||
EMEA | 483.3 | 129.2 | ||||||
United States | 1,657.0 | 488.9 | ||||||
Total | 13,978.5 | 2,470.3 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 228 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Deposits with financial institutions, governments and government related bodies | Deposits with financial institutions, governments and government related bodies | 1,545.3 | 2,131.7 | Deposits with financial institutions, governments and government related bodies | 2,131.7 | 2,548.1 | |||||||||||||
Investments in money market funds | Investments in money market funds | 3,841.9 | 2,928.3 | Investments in money market funds | 2,928.3 | 3,196.7 | |||||||||||||
Bank accounts | Bank accounts | 662.2 | 1,891.8 | Bank accounts | 1,891.8 | 1,523.5 | |||||||||||||
Cash and cash equivalents | Cash and cash equivalents | 6,049.4 | 6,951.8 | Cash and cash equivalents | 6,951.8 | 7,268.3 | |||||||||||||
Deposits with financial institutions, governments and government related bodies | Deposits with financial institutions, governments and government related bodies | 1,302.2 | 638.5 | Deposits with financial institutions, governments and government related bodies | 638.5 | 107.7 | |||||||||||||
Short-term investments | Short-term investments | 1,302.2 | 638.5 | Short-term investments | 638.5 | 107.7 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Accounts receivable, gross | Accounts receivable, gross | 1,313.1 | 3,032.5 | Accounts receivable, gross | 3,032.5 | 5,327.9 | |||||||||||||
Allowance for credit losses | Allowance for credit losses | (2.8) | (4.5) | Allowance for credit losses | (4.5) | (4.1) | |||||||||||||
Accounts receivable, net | Accounts receivable, net | 1,310.3 | 3,028.0 | Accounts receivable, net | 3,028.0 | 5,323.8 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 229 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Finance receivables, gross | Finance receivables, gross | 2,122.5 | 1,570.0 | Finance receivables, gross | 1,570.0 | 1,356.7 | |||||||||||||
Unearned interest | Unearned interest | (11.5) | (1.4) | Unearned interest | (1.4) | — | |||||||||||||
Finance receivables, net | Finance receivables, net | 2,111.0 | 1,568.6 | Finance receivables, net | 1,568.6 | 1,356.7 | |||||||||||||
Current portion of finance receivables, gross | Current portion of finance receivables, gross | 1,716.1 | 1,187.0 | Current portion of finance receivables, gross | 1,187.0 | 1,356.7 | |||||||||||||
Current portion of unearned interest | Current portion of unearned interest | (5.6) | (1.4) | Current portion of unearned interest | (1.4) | — | |||||||||||||
Non-current portion of finance receivables, net | Non-current portion of finance receivables, net | 400.5 | 383.0 | Non-current portion of finance receivables, net | 383.0 | — |
(€, in millions) | (€, in millions) | Amount | (€, in millions) | Amount | ||||||
2022 | 1,187.0 | |||||||||
2023 | 2023 | 383.0 | 2023 | 1,356.7 | ||||||
2024 | 2024 | — | 2024 | — | ||||||
2025 | 2025 | — | 2025 | — | ||||||
2026 | 2026 | — | 2026 | — | ||||||
2027 | 2027 | — | ||||||||
Thereafter | Thereafter | — | Thereafter | — | ||||||
Finance receivables, gross | Finance receivables, gross | 1,570.0 | Finance receivables, gross | 1,356.7 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Raw materials | Raw materials | 2,073.4 | 2,668.3 | Raw materials | 2,668.3 | 3,198.9 | |||||||||||||
Work-in-process | Work-in-process | 1,805.0 | 1,749.9 | Work-in-process | 1,749.9 | 2,163.9 | |||||||||||||
Finished products | Finished products | 1,164.2 | 1,179.0 | Finished products | 1,179.0 | 2,303.8 | |||||||||||||
Inventories, gross | Inventories, gross | 5,042.6 | 5,597.2 | Inventories, gross | 5,597.2 | 7,666.6 | |||||||||||||
Inventory reserves | Inventory reserves | (473.2) | (418.0) | Inventory reserves | (418.0) | (466.9) | |||||||||||||
Inventories, net | Inventories, net | 4,569.4 | 5,179.2 | Inventories, net | 5,179.2 | 7,199.7 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 230 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Balance at beginning of year | Balance at beginning of year | (494.3) | (473.2) | Balance at beginning of year | (473.2) | (418.0) | |||||||||||||
Additions for the year | Additions for the year | (192.4) | (180.7) | Additions for the year | (180.7) | (278.5) | |||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | 0.8 | (6.1) | Effect of changes in exchange rates | (6.1) | (1.1) | |||||||||||||
Utilization of the reserve | Utilization of the reserve | 212.7 | 242.0 | Utilization of the reserve | 242.0 | 230.7 | |||||||||||||
Balance at end of year | Balance at end of year | (473.2) | (418.0) | Balance at end of year | (418.0) | (466.9) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Advance payments to Carl Zeiss SMT GmbH 1 | Advance payments to Carl Zeiss SMT GmbH 1 | 265.8 | 288.5 | Advance payments to Carl Zeiss SMT GmbH1 | 288.5 | 479.9 | |||||||||||||
Prepaid expenses | Prepaid expenses | 278.7 | 374.3 | Prepaid expenses | 374.3 | 678.6 | |||||||||||||
Derivative financial instruments 2 | Derivative financial instruments 2 | 39.0 | 52.2 | Derivative financial instruments2 | 52.2 | 17.3 | |||||||||||||
VAT receivable | VAT receivable | 125.6 | 136.7 | VAT receivable | 136.7 | 201.2 | |||||||||||||
Other assets | Other assets | 92.6 | 148.8 | Other assets | 148.8 | 266.4 | |||||||||||||
Other current assets | Other current assets | 801.7 | 1,000.5 | Other current assets | 1,000.5 | 1,643.4 | |||||||||||||
Advance payments to Carl Zeiss SMT GmbH 1 | Advance payments to Carl Zeiss SMT GmbH 1 | 668.0 | 694.3 | Advance payments to Carl Zeiss SMT GmbH1 | 694.3 | 620.4 | |||||||||||||
Loan to Carl Zeiss SMT GmbH 1 | — | 124.4 | |||||||||||||||||
Prepaid expenses | Prepaid expenses | 55.2 | 41.0 | Prepaid expenses | 41.0 | 32.4 | |||||||||||||
Derivative financial instruments 2 | Derivative financial instruments 2 | 123.8 | 47.3 | Derivative financial instruments2 | 47.3 | — | |||||||||||||
Compensation plan assets | Compensation plan assets | 67.0 | 81.4 | Compensation plan assets | 81.4 | 71.1 | |||||||||||||
Non-current accounts receivable | Non-current accounts receivable | 22.6 | 8.0 | Non-current accounts receivable | 8.0 | — | |||||||||||||
Other assets | Other assets | 14.9 | 15.0 | Other assets | 15.0 | 15.9 | |||||||||||||
Other non-current assets | Other non-current assets | 951.5 | 1,011.4 | Other non-current assets | 887.0 | 739.8 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 231 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 232 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Category | Estimated useful life | ||||
Brands | 20 years | ||||
Intellectual property | |||||
Developed technology | |||||
Customer relationships | |||||
Other |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 233 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
€, in millions | €, in millions | Brands | Intellectual property | Developed technology | Customer relationships | Other | Total | €, in millions | Brands | Intellectual property | Developed technology | Customer relationships | Other | Total | ||||||||||||||||||||||||||
Cost | Cost | Cost | ||||||||||||||||||||||||||||||||||||||
Balance at January 1, 2020 | 38.9 | 142.4 | 1,200.1 | 228.6 | 110.5 | 1,720.5 | ||||||||||||||||||||||||||||||||||
Acquisitions through business combinations | — | — | 30.0 | — | 2.3 | 32.3 | ||||||||||||||||||||||||||||||||||
Additions | — | 2.5 | — | — | 33.4 | 35.9 | ||||||||||||||||||||||||||||||||||
Disposals | — | — | — | — | (0.2) | (0.2) | ||||||||||||||||||||||||||||||||||
Effect of changes in exchange rates | — | (0.1) | — | — | (0.1) | (0.2) | ||||||||||||||||||||||||||||||||||
Balance at December 31, 2020 | 38.9 | 144.8 | 1,230.1 | 228.6 | 145.9 | 1,788.3 | ||||||||||||||||||||||||||||||||||
Balance at January 1, 2021 | Balance at January 1, 2021 | 38.9 | 144.8 | 1,230.1 | 228.6 | 145.9 | 1,788.3 | |||||||||||||||||||||||||||||||||
Additions | Additions | — | — | — | — | 45.6 | 45.6 | Additions | — | — | — | — | 45.6 | 45.6 | ||||||||||||||||||||||||||
Divestment | Divestment | — | — | (9.9) | — | (0.8) | (10.7) | Divestment | — | — | (9.9) | — | (0.8) | (10.7) | ||||||||||||||||||||||||||
Disposals | Disposals | — | — | — | — | (0.5) | (0.5) | Disposals | — | — | — | — | (0.5) | (0.5) | ||||||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | — | — | — | — | (0.2) | (0.2) | Effect of changes in exchange rates | — | — | — | — | (0.2) | (0.2) | ||||||||||||||||||||||||||
Balance at December 31, 2021 | Balance at December 31, 2021 | 38.9 | 144.8 | 1,220.2 | 228.6 | 190.0 | 1,822.5 | Balance at December 31, 2021 | 38.9 | 144.8 | 1,220.2 | 228.6 | 190.0 | 1,822.5 | ||||||||||||||||||||||||||
Accumulated amortization | ||||||||||||||||||||||||||||||||||||||||
Balance at January 1, 2020 | 9.2 | 70.6 | 428.6 | 83.2 | 24.5 | 616.1 | ||||||||||||||||||||||||||||||||||
Amortization | 1.9 | 8.2 | 82.1 | 12.7 | 18.6 | 123.5 | ||||||||||||||||||||||||||||||||||
Additions | Additions | — | 1.5 | — | — | 32.5 | 34.0 | |||||||||||||||||||||||||||||||||
Disposals | Disposals | — | — | — | — | (0.2) | (0.2) | Disposals | — | — | — | — | (1.6) | (1.6) | ||||||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | — | — | — | — | — | — | Effect of changes in exchange rates | — | 0.8 | — | — | 1.6 | 2.4 | ||||||||||||||||||||||||||
Balance at December 31, 2020 | 11.1 | 78.8 | 510.7 | 95.9 | 42.9 | 739.4 | ||||||||||||||||||||||||||||||||||
Balance at December 31, 2022 | Balance at December 31, 2022 | 38.9 | 147.1 | 1,220.2 | 228.6 | 222.5 | 1,857.3 | |||||||||||||||||||||||||||||||||
Accumulated amortization | Accumulated amortization | |||||||||||||||||||||||||||||||||||||||
Balance at January 1, 2021 | Balance at January 1, 2021 | 11.1 | 78.8 | 510.7 | 95.9 | 42.9 | 739.4 | |||||||||||||||||||||||||||||||||
Amortization | Amortization | 1.9 | 8.4 | 84.2 | 12.7 | 25.8 | 133.0 | Amortization | 1.9 | 8.4 | 84.2 | 12.7 | 25.8 | 133.0 | ||||||||||||||||||||||||||
Divestment | Divestment | — | — | (0.9) | — | (0.4) | (1.3) | Divestment | — | — | (0.9) | — | (0.4) | (1.3) | ||||||||||||||||||||||||||
Disposals | Disposals | — | — | — | — | (0.4) | (0.4) | Disposals | — | — | — | — | (0.4) | (0.4) | ||||||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | — | — | — | — | (0.3) | (0.3) | Effect of changes in exchange rates | — | — | — | — | (0.3) | (0.3) | ||||||||||||||||||||||||||
Balance at December 31, 2021 | Balance at December 31, 2021 | 13.0 | 87.2 | 594.0 | 108.6 | 67.6 | 870.4 | Balance at December 31, 2021 | 13.0 | 87.2 | 594.0 | 108.6 | 67.6 | 870.4 | ||||||||||||||||||||||||||
Amortization | Amortization | 1.9 | 8.6 | 83.4 | 12.7 | 28.5 | 135.1 | |||||||||||||||||||||||||||||||||
Impairment charges | Impairment charges | — | — | — | — | 9.2 | 9.2 | |||||||||||||||||||||||||||||||||
Disposals | Disposals | — | — | — | — | (1.4) | (1.4) | |||||||||||||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | — | — | — | — | 1.6 | 1.6 | |||||||||||||||||||||||||||||||||
Balance at December 31, 2022 | Balance at December 31, 2022 | 14.9 | 95.8 | 677.4 | 121.3 | 105.5 | 1,014.9 | |||||||||||||||||||||||||||||||||
Carrying amount | Carrying amount | Carrying amount | ||||||||||||||||||||||||||||||||||||||
December 31, 2020 | 27.8 | 66.0 | 719.4 | 132.7 | 103.0 | 1,048.9 | ||||||||||||||||||||||||||||||||||
December 31, 2021 | December 31, 2021 | 25.9 | 57.6 | 626.2 | 120.0 | 122.4 | 952.1 | December 31, 2021 | 25.9 | 57.6 | 626.2 | 120.0 | 122.4 | 952.1 | ||||||||||||||||||||||||||
December 31, 2022 | December 31, 2022 | 24.0 | 51.3 | 542.8 | 107.3 | 117.0 | 842.4 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 234 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Cost of Sales | Cost of Sales | 97.4 | 101.8 | 107.8 | Cost of Sales | 101.8 | 107.8 | 105.9 | |||||||||||||||||
R&D Costs | R&D Costs | 7.5 | 12.0 | 14.5 | R&D Costs | 12.0 | 14.5 | 18.2 | |||||||||||||||||
SG&A | SG&A | 10.5 | 9.7 | 10.7 | SG&A | 9.7 | 10.7 | 11.0 | |||||||||||||||||
Total Amortization | Total Amortization | 115.4 | 123.5 | 133.0 | Total Amortization | 123.5 | 133.0 | 135.1 |
€, in millions | €, in millions | Amount | €, in millions | Amount | ||||||
2022 | 135.2 | |||||||||
2023 | 2023 | 130.4 | 2023 | 130.8 | ||||||
2024 | 2024 | 121.0 | 2024 | 124.8 | ||||||
2025 | 2025 | 115.6 | 2025 | 119.3 | ||||||
2026 | 2026 | 109.0 | 2026 | 113.0 | ||||||
2027 | 2027 | 109.1 | ||||||||
Thereafter | Thereafter | 340.9 | Thereafter | 245.4 | ||||||
Total | Total | 952.1 | Total | 842.4 |
Category | Estimated useful life | ||||
Buildings and constructions | |||||
Machinery and equipment | |||||
Leasehold improvements | |||||
Furniture, fixtures and other |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 235 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
€, in millions | €, in millions | Land and buildings | Machinery and equipment | Leasehold improvements | Furniture, fixtures and other | Total | €, in millions | Land and buildings | Machinery and equipment | Leasehold improvements | Furniture, fixtures and other | Total | ||||||||||||||||||||||
Cost | Cost | Cost | ||||||||||||||||||||||||||||||||
Balance at January 1, 2020 | 2,036.5 | 1,587.8 | 301.0 | 377.7 | 4,303.0 | |||||||||||||||||||||||||||||
Acquisitions through business combinations | 49.1 | 65.7 | — | 10.3 | 125.1 | |||||||||||||||||||||||||||||
Additions | 359.3 | 263.0 | 45.7 | 43.4 | 711.4 | |||||||||||||||||||||||||||||
Disposals | (0.4) | (53.6) | (5.2) | (9.0) | (68.2) | |||||||||||||||||||||||||||||
Net non-cash movements to/from Inventories | — | (23.9) | — | — | (23.9) | |||||||||||||||||||||||||||||
Effect of changes in exchange rates | (12.3) | (10.1) | (1.2) | (1.8) | (25.4) | |||||||||||||||||||||||||||||
Balance at December 31, 2020 | 2,432.2 | 1,828.9 | 340.3 | 420.6 | 5,022.0 | |||||||||||||||||||||||||||||
Balance at January 1, 2021 | Balance at January 1, 2021 | 2,432.2 | 1,828.9 | 340.3 | 420.6 | 5,022.0 | ||||||||||||||||||||||||||||
Additions | Additions | 372.7 | 389.6 | 33.2 | 65.3 | 860.8 | Additions | 372.7 | 389.6 | 33.2 | 65.3 | 860.8 | ||||||||||||||||||||||
Divestment | Divestment | (17.9) | (13.4) | — | (4.7) | (36.0) | Divestment | (17.9) | (13.4) | — | (4.7) | (36.0) | ||||||||||||||||||||||
Disposals | Disposals | (0.5) | (199.1) | (7.5) | (70.3) | (277.4) | Disposals | (0.5) | (199.1) | (7.5) | (70.3) | (277.4) | ||||||||||||||||||||||
Net non-cash movements to/from Inventories | Net non-cash movements to/from Inventories | — | 11.9 | — | — | 11.9 | Net non-cash movements to/from Inventories | — | 11.9 | — | — | 11.9 | ||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | 17.2 | 10.8 | 2.6 | 3.2 | 33.8 | Effect of changes in exchange rates | 17.2 | 10.8 | 2.6 | 3.2 | 33.8 | ||||||||||||||||||||||
Balance at December 31, 2021 | Balance at December 31, 2021 | 2,803.7 | 2,028.7 | 368.6 | 414.1 | 5,615.1 | Balance at December 31, 2021 | 2,803.7 | 2,028.7 | 368.6 | 414.1 | 5,615.1 | ||||||||||||||||||||||
Accumulated depreciation and impairment | ||||||||||||||||||||||||||||||||||
Balance at January 1, 2020 | 746.3 | 1,022.7 | 281.3 | 253.4 | 2,303.7 | |||||||||||||||||||||||||||||
Depreciation | 102.0 | 186.2 | 21.4 | 42.1 | 351.7 | |||||||||||||||||||||||||||||
Impairment charges | — | 2.7 | — | — | 2.7 | |||||||||||||||||||||||||||||
Additions | Additions | 510.9 | 665.4 | 34.4 | 87.6 | 1,298.3 | ||||||||||||||||||||||||||||
Disposals | Disposals | (0.1) | (51.6) | (4.7) | (9.0) | (65.4) | Disposals | (1.3) | (42.2) | (1.0) | (3.0) | (47.5) | ||||||||||||||||||||||
Net non-cash movements to/from Inventories | Net non-cash movements to/from Inventories | — | (29.9) | — | — | (29.9) | Net non-cash movements to/from Inventories | — | 129.2 | — | — | 129.2 | ||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | (5.6) | (3.9) | (0.7) | (0.9) | (11.1) | Effect of changes in exchange rates | 0.7 | (3.5) | (1.2) | (1.7) | (5.7) | ||||||||||||||||||||||
Balance at December 31, 2020 | 842.6 | 1,126.2 | 297.3 | 285.6 | 2,551.7 | |||||||||||||||||||||||||||||
Balance at December 31, 2022 | Balance at December 31, 2022 | 3,314.0 | 2,777.6 | 400.8 | 497.0 | 6,989.4 | ||||||||||||||||||||||||||||
Accumulated depreciation and impairment | Accumulated depreciation and impairment | |||||||||||||||||||||||||||||||||
Balance at January 1, 2021 | Balance at January 1, 2021 | 842.6 | 1,126.2 | 297.3 | 285.6 | 2,551.7 | ||||||||||||||||||||||||||||
Depreciation | Depreciation | 95.6 | 167.1 | 15.9 | 43.0 | 321.6 | Depreciation | 95.6 | 167.1 | 15.9 | 43.0 | 321.6 | ||||||||||||||||||||||
Impairment charges | Impairment charges | 3.1 | 8.2 | 0.2 | — | 11.5 | Impairment charges | 3.1 | 8.2 | 0.2 | — | 11.5 | ||||||||||||||||||||||
Divestment | Divestment | (0.6) | (4.4) | — | (2.5) | (7.5) | Divestment | (0.6) | (4.4) | — | (2.5) | (7.5) | ||||||||||||||||||||||
Disposals | Disposals | (0.4) | (181.2) | (3.9) | (69.7) | (255.2) | Disposals | (0.4) | (181.2) | (3.9) | (69.7) | (255.2) | ||||||||||||||||||||||
Net non-cash movements to/from Inventories | Net non-cash movements to/from Inventories | — | (7.9) | — | — | (7.9) | Net non-cash movements to/from Inventories | — | (7.9) | — | — | (7.9) | ||||||||||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | 7.4 | 7.6 | 1.5 | 1.7 | 18.2 | Effect of changes in exchange rates | 7.4 | 7.6 | 1.5 | 1.7 | 18.2 | ||||||||||||||||||||||
Balance at December 31, 2021 | Balance at December 31, 2021 | 947.7 | 1,115.6 | 311.0 | 258.1 | 2,632.4 | Balance at December 31, 2021 | 947.7 | 1,115.6 | 311.0 | 258.1 | 2,632.4 | ||||||||||||||||||||||
Carrying amount | ||||||||||||||||||||||||||||||||||
December 31, 2020 | 1,589.6 | 702.7 | 43.0 | 135.0 | 2,470.3 | |||||||||||||||||||||||||||||
December 31, 2021 | 1,856.0 | 913.1 | 57.6 | 156.0 | 2,982.7 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 236 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
€, in millions | Land and buildings | Machinery and equipment | Leasehold improvements | Furniture, fixtures and other | Total | ||||||||||||
Depreciation | 134.8 | 232.6 | 21.9 | 55.9 | 445.2 | ||||||||||||
Impairment charges | 10.9 | 6.4 | 0.5 | — | 17.8 | ||||||||||||
Disposals | (2.3) | (29.5) | (0.9) | (2.4) | (35.1) | ||||||||||||
Net non-cash movements to/from Inventories | — | (10.9) | — | — | (10.9) | ||||||||||||
Effect of changes in exchange rates | (0.5) | (1.9) | (0.6) | (1.2) | (4.2) | ||||||||||||
Balance at December 31, 2022 | 1,090.6 | 1,312.3 | 331.9 | 310.4 | 3,045.2 | ||||||||||||
Carrying amount | |||||||||||||||||
December 31, 2021 | 1,856.0 | 913.1 | 57.6 | 156.0 | 2,982.7 | ||||||||||||
December 31, 2022 | 2,223.4 | 1,465.3 | 68.9 | 186.6 | 3,944.2 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Cost of Sales | Cost of Sales | 196.1 | 205.9 | 188.6 | Cost of Sales | 205.9 | 188.6 | 248.2 | |||||||||||||||||
R&D Costs | R&D Costs | 117.2 | 119.9 | 101.4 | R&D Costs | 119.9 | 101.4 | 163.7 | |||||||||||||||||
SG&A | SG&A | 12.0 | 25.9 | 31.6 | SG&A | 25.9 | 31.6 | 33.3 | |||||||||||||||||
Total Depreciation | Total Depreciation | 325.3 | 351.7 | 321.6 | Total Depreciation | 351.7 | 321.6 | 445.2 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 237 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Operating Leases | Finance Leases | |||||||||||||||||||||||||||
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | ||||||||||||||||||||
Properties | Properties | 158.2 | 144.4 | 130.7 | 5.3 | Properties | 149.7 | 148.9 | ||||||||||||||||||||
Cars | Cars | 7.6 | 6.7 | — | — | Cars | 6.7 | 5.1 | ||||||||||||||||||||
Equipment | Equipment | — | — | 34.1 | — | Equipment | — | — | ||||||||||||||||||||
Warehouses | Warehouses | 11.0 | 7.5 | — | — | Warehouses | 7.5 | 38.0 | ||||||||||||||||||||
Other | Other | 3.3 | 0.9 | — | — | Other | 0.9 | 0.7 | ||||||||||||||||||||
Right-of-use assets | Right-of-use assets | 180.1 | 159.5 | 164.8 | 5.3 | Right-of-use assets | 164.8 | 192.7 |
Operating Leases | Finance Leases | ||||||||||||||||
Year ended December 31 (€, in millions) | 2020 | 2021 | 2020 | 2021 | |||||||||||||
Current | 46.5 | 43.7 | 4.7 | 2.9 | |||||||||||||
Non-current | 129.8 | 118.0 | 8.1 | 2.3 | |||||||||||||
Lease liabilities | 176.3 | 161.7 | 12.8 | 5.2 |
Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||
Current | 46.6 | 47.6 | |||||||||
Non-current | 120.3 | 151.5 | |||||||||
Lease liabilities | 166.9 | 199.1 |
Operating Leases | Finance Leases | ||||||||||||||||||||||||||||||||||||
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | ||||||||||||||||||||||||||
Properties | Properties | 48.2 | 47.6 | 49.3 | 2.8 | 4.1 | 2.9 | Properties | 51.7 | 52.2 | 52.3 | ||||||||||||||||||||||||||
Cars | Cars | 8.1 | 5.5 | 4.8 | — | — | — | Cars | 5.5 | 4.8 | 2.7 | ||||||||||||||||||||||||||
Equipment | Equipment | — | — | — | 4.5 | 7.0 | — | Equipment | 7.0 | — | — | ||||||||||||||||||||||||||
Warehouses | Warehouses | 4.5 | 6.6 | 3.0 | — | — | — | Warehouses | 6.6 | 3.0 | 4.0 | ||||||||||||||||||||||||||
Other | Other | 12.4 | 5.9 | 2.4 | — | — | — | Other | 5.9 | 2.4 | 1.4 | ||||||||||||||||||||||||||
Depreciation charge right-of-use assets | Depreciation charge right-of-use assets | 73.2 | 65.6 | 59.5 | 7.3 | 11.1 | 2.9 | Depreciation charge right-of-use assets | 76.7 | 62.4 | 60.4 |
Operating Leases | Finance Leases | ||||||||||||||||||||||||||||||||||||
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | ||||||||||||||||||||||||||
Total Cash Flows | Total Cash Flows | 73.2 | 58.8 | 64.3 | 2.8 | 2.9 | 4.6 | Total Cash Flows | 61.7 | 68.9 | 57.9 |
Operating Leases | Finance Leases | ||||||||||||||||||||||||||||||||||||
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | ||||||||||||||||||||||||||
Weighted average remaining lease term (months) | Weighted average remaining lease term (months) | 70 | 65 | 64 | 230 | 243 | 86 | Weighted average remaining lease term (months) | 147 | 62 | 67 | ||||||||||||||||||||||||||
Weighted average discount rate (%) | Weighted average discount rate (%) | 2.2 | % | 2.0 | % | 2.0 | % | 0.7 | % | 0.5 | % | 0.5 | % | Weighted average discount rate (%) | 1.3 | % | 1.9 | % | 2.2 | % |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 238 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Costs to be paid | 233.9 | 352.0 | |||||||||||||||||
Personnel related items | 757.4 | 864.7 | |||||||||||||||||
Derivative financial instruments 1 | 20.0 | 2.8 | |||||||||||||||||
Operating lease liabilities 2 | 176.3 | 161.7 | |||||||||||||||||
Costs to be paid1 | Costs to be paid1 | 352.0 | 511.6 | ||||||||||||||||
Personnel-related items | Personnel-related items | 864.7 | 1,070.9 | ||||||||||||||||
Derivative financial instruments2 | Derivative financial instruments2 | 2.8 | 261.2 | ||||||||||||||||
Operating lease liabilities3 | Operating lease liabilities3 | 161.7 | 196.7 | ||||||||||||||||
Provisions | Provisions | 84.8 | 91.2 | Provisions | 91.2 | 90.5 | |||||||||||||
Standard warranty reserve | Standard warranty reserve | 119.1 | 145.3 | Standard warranty reserve | 145.3 | 143.6 | |||||||||||||
Other | Other | 12.0 | 68.9 | Other | 68.9 | 56.3 | |||||||||||||
Accrued and other liabilities | Accrued and other liabilities | 1,403.5 | 1,686.6 | Accrued and other liabilities | 1,686.6 | 2,330.8 | |||||||||||||
Less: non-current portion of accrued and other liabilities | Less: non-current portion of accrued and other liabilities | 257.5 | 251.1 | Less: non-current portion of accrued and other liabilities | 251.1 | 454.9 | |||||||||||||
Current portion of accrued and other liabilities | Current portion of accrued and other liabilities | 1,146.0 | 1,435.5 | Current portion of accrued and other liabilities | 1,435.5 | 1,875.9 |
Year ended December 31 (€, in millions) | 2020 | 2021 | ||||||
Balance at beginning of year | 128.4 | 119.1 | ||||||
Additions for the year | 137.1 | 188.6 | ||||||
Utilization of the reserve | (145.9) | (162.8) | ||||||
Effect of exchange rates | (0.5) | 0.4 | ||||||
Balance at end of year | 119.1 | 145.3 |
Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||
Balance at beginning of year | 119.1 | 145.3 | |||||||||
Additions for the year | 188.6 | 191.5 | |||||||||
Utilization of the reserve | (162.8) | (193.5) | |||||||||
Effect of exchange rates | 0.4 | 0.3 | |||||||||
Balance at end of year | 145.3 | 143.6 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 239 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
€500 million 0.625% senior notes issued July 2016 and principal due July 7th 2022 interest annually payable on July 7th, carrying amount | €500 million 0.625% senior notes issued July 2016 and principal due July 7th 2022 interest annually payable on July 7th, carrying amount | 501.5 | 500.5 | €500 million 0.625% senior notes issued July 2016 and principal due July 7th 2022 interest annually payable on July 7th, carrying amount | 500.5 | — | |||||||||||||
€750 million 3.375% senior notes issued September 2013 and principal due September 19th 2023 interest annually payable on September 19th, carrying amount | €750 million 3.375% senior notes issued September 2013 and principal due September 19th 2023 interest annually payable on September 19th, carrying amount | 802.1 | 780.6 | €750 million 3.375% senior notes issued September 2013 and principal due September 19th 2023 interest annually payable on September 19th, carrying amount | 780.6 | 744.6 | |||||||||||||
€1,000 million 1.375% senior notes issued July 2016 and principal due July 7th 2026 interest annually payable on July 7th, carrying amount | €1,000 million 1.375% senior notes issued July 2016 and principal due July 7th 2026 interest annually payable on July 7th, carrying amount | 1,028.0 | 1,003.2 | €1,000 million 1.375% senior notes issued July 2016 and principal due July 7th 2026 interest annually payable on July 7th, carrying amount | 1,003.2 | 893.9 | |||||||||||||
€750 million 1.625% senior notes issued November 2016 and principal due May 28th 2027 interest annually payable on May 28th, carrying amount | €750 million 1.625% senior notes issued November 2016 and principal due May 28th 2027 interest annually payable on May 28th, carrying amount | 795.4 | 769.3 | €750 million 1.625% senior notes issued November 2016 and principal due May 28th 2027 interest annually payable on May 28th, carrying amount | 769.3 | 666.8 | |||||||||||||
€750 million 0.250% senior notes issued February 2020 and principal due February 25th 2030 interest annually payable on February 25th, carrying amount | €750 million 0.250% senior notes issued February 2020 and principal due February 25th 2030 interest annually payable on February 25th, carrying amount | 740.7 | 741.7 | €750 million 0.250% senior notes issued February 2020 and principal due February 25th 2030 interest annually payable on February 25th, carrying amount | 741.7 | 742.7 | |||||||||||||
€750 million 0.625% senior notes Issued May 2020 and principal due May 7th 2029 interest annually payable on May 7th, carrying amount | 746.8 | 747.1 | |||||||||||||||||
Debt acquired with Berliner Glas | 55.5 | 36.4 | |||||||||||||||||
€750 million 0.625% senior notes issued May 2020 and principal due May 7th 2029 interest annually payable on May 7th, carrying amount | €750 million 0.625% senior notes issued May 2020 and principal due May 7th 2029 interest annually payable on May 7th, carrying amount | 747.1 | 747.5 | ||||||||||||||||
€500 million 2.250% senior notes issued May 2022 and principal due May 17th 2032 interest annually payable on May 17th, carrying amount | €500 million 2.250% senior notes issued May 2022 and principal due May 17th 2032 interest annually payable on May 17th, carrying amount | — | 440.3 | ||||||||||||||||
Debt acquired from Berliner Glas (ASML Berlin GmbH) | Debt acquired from Berliner Glas (ASML Berlin GmbH) | 36.4 | 22.3 | ||||||||||||||||
Other | Other | 8.2 | 5.3 | Other | 5.3 | 2.3 | |||||||||||||
Long-term debt | Long-term debt | 4,678.2 | 4,584.1 | Long-term debt | 4,584.1 | 4,260.4 | |||||||||||||
Less: current portion of long-term debt | Less: current portion of long-term debt | 15.4 | 509.1 | Less: current portion of long-term debt | 509.1 | 746.2 | |||||||||||||
Non-current portion of long-term debt | Non-current portion of long-term debt | 4,662.8 | 4,075.0 | Non-current portion of long-term debt | 4,075.0 | 3,514.2 |
€, in millions | €, in millions | Amount | €, in millions | Amount | ||||||
2022 | 508.6 | |||||||||
2023 | 2023 | 755.9 | 2023 | 753.8 | ||||||
2024 | 2024 | 4.5 | 2024 | 2.0 | ||||||
2025 | 2025 | 4.5 | 2025 | 2.0 | ||||||
2026 | 2026 | 1,004.5 | 2026 | 1,002.0 | ||||||
2027 | 2027 | 752.0 | ||||||||
Thereafter | Thereafter | 2,263.6 | Thereafter | 2,012.9 | ||||||
Total debt maturities | Total debt maturities | 4,541.6 | Total debt maturities | 4,524.7 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Amortized cost amount | Amortized cost amount | 4,474.1 | 4,478.5 | Amortized cost amount | 4,478.5 | 4,479.0 | |||||||||||||
Fair value interest rate swaps 1 | Fair value interest rate swaps 1 | 140.4 | 63.9 | Fair value interest rate swaps1 | 63.9 | (243.2) | |||||||||||||
Carrying amount | Carrying amount | 4,614.5 | 4,542.4 | Carrying amount | 4,542.4 | 4,235.8 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 240 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||
Principal amount | Principal amount | 4,500.0 | 4,500.0 | Principal amount | 4,500.0 | 4,500.0 | |||||||||||||
Carrying amount | Carrying amount | 4,614.5 | 4,542.4 | Carrying amount | 4,542.4 | 4,235.8 | |||||||||||||
Fair value 1 | Fair value 1 | 4,798.8 | 4,673.9 | Fair value1 | 4,673.9 | 4,072.8 |
Payments due by period (€, in millions) | Payments due by period (€, in millions) | Total | 1 year | 2 year | 3 year | 4 year | 5 year | >5 years | Payments due by period (€, in millions) | Total | 1 year | 2 year | 3 year | 4 year | 5 year | >5 years | ||||||||||||||||||||||||||||||
Long-Term Debt Obligations, including interest1 | Long-Term Debt Obligations, including interest1 | 4,806.9 | 570.3 | 814.2 | 37.5 | 37.6 | 1,037.7 | 2,309.6 | Long-Term Debt Obligations, including interest1 | 4,837.1 | 823.5 | 46.3 | 46.4 | 1,046.3 | 782.4 | 2,092.2 | ||||||||||||||||||||||||||||||
Lease Obligations 2 | Lease Obligations 2 | 161.7 | 43.7 | 35.7 | 21.3 | 16.6 | 15.4 | 29.0 | Lease Obligations2 | 199.1 | 49.9 | 37.4 | 28.8 | 24.7 | 21.1 | 37.2 | ||||||||||||||||||||||||||||||
Purchase Obligations | Purchase Obligations | 8,527.4 | 6,974.0 | 814.1 | 405.7 | 223.4 | 74.2 | 36.0 | Purchase Obligations | 11,815.1 | 9,703.9 | 1,152.5 | 729.9 | 165.5 | 51.1 | 12.2 | ||||||||||||||||||||||||||||||
Total Contractual Obligations | Total Contractual Obligations | 13,496.0 | 7,588.0 | 1,664.0 | 464.5 | 277.6 | 1,127.3 | 2,374.6 | Total Contractual Obligations | 16,851.3 | 10,577.3 | 1,236.2 | 805.1 | 1,236.5 | 854.6 | 2,141.6 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 241 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Wages and salaries | Wages and salaries | 2,124.4 | 2,519.6 | 2,842.7 | Wages and salaries | 2,519.6 | 2,842.7 | 3,502.5 | |||||||||||||||||
Social security expenses | Social security expenses | 181.9 | 208.1 | 249.8 | Social security expenses | 208.1 | 249.8 | 300.7 | |||||||||||||||||
Pension and retirement expenses | Pension and retirement expenses | 152.5 | 182.6 | 229.2 | Pension and retirement expenses | 182.6 | 229.2 | 255.9 | |||||||||||||||||
Share-based payments | Share-based payments | 74.6 | 53.9 | 117.5 | Share-based payments | 53.9 | 117.5 | 68.9 | |||||||||||||||||
Personnel expenses | Personnel expenses | 2,533.4 | 2,964.2 | 3,439.2 | Personnel expenses | 2,964.2 | 3,439.2 | 4,128.0 |
Average number of payroll employees in FTEs | Average number of payroll employees in FTEs | 2019 | 2020 | 2021 | Average number of payroll employees in FTEs | 2020 | 2021 | 2022 | |||||||||||||||||
Netherlands | Netherlands | 11,376 | 12,812 | 14,222 | Netherlands | 12,812 | 14,222 | 16,722 | |||||||||||||||||
Worldwide | 22,192 | 24,727 | 28,223 | ||||||||||||||||||||||
Worldwide (including Netherlands) | Worldwide (including Netherlands) | 24,727 | 28,223 | 33,071 |
Year ended December 31 (in FTE) | 2019 | 2020 | 2021 | ||||||||
Customer Support | 5,953 | 6,429 | 7,485 | ||||||||
Manufacturing and Supply Chain Management | 5,933 | 7,680 | 8,237 | ||||||||
Strategic Supply Management | 326 | 346 | 707 | ||||||||
General & Administrative | 1,898 | 2,061 | 2,761 | ||||||||
Sales and Mature Products and Services | 624 | 744 | 766 | ||||||||
Research & Development | 10,166 | 10,813 | 12,060 | ||||||||
Total | 24,900 | 28,073 | 32,016 | ||||||||
Less: Temporary employees | 1,681 | 1,459 | 2,155 | ||||||||
Payroll employees | 23,219 | 26,614 | 29,861 |
Year ended December 31 (in FTE) | 2020 | 2021 | 2022 | |||||||||||
Customer Support | 6,429 | 7,485 | 8,901 | |||||||||||
Manufacturing and Supply Chain Management | 7,680 | 8,237 | 9,953 | |||||||||||
Strategic Supply Management | 346 | 707 | 1,541 | |||||||||||
General & Administrative | 2,061 | 2,761 | 3,768 | |||||||||||
Sales and Mature Products and Services | 744 | 766 | 742 | |||||||||||
Research & Development | 10,813 | 12,060 | 14,181 | |||||||||||
Total | 28,073 | 32,016 | 39,086 | |||||||||||
Less: Temporary employees | 1,459 | 2,155 | 2,974 | |||||||||||
Payroll employees | 26,614 | 29,861 | 36,112 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Board of Management | Board of Management | 5.1 | 5.4 | 4.4 | Board of Management | 5.4 | 4.4 | 3.8 | |||||||||||||||||
Former Board of Management | Former Board of Management | — | — | 0.2 | Former Board of Management | — | 0.2 | — | |||||||||||||||||
Other employees | Other employees | 269.1 | 402.5 | 423.5 | Other employees | 402.5 | 423.5 | 629.6 | |||||||||||||||||
Total STI bonus expenses | Total STI bonus expenses | 274.2 | 407.9 | 428.1 | Total STI bonus expenses | 407.9 | 428.1 | 633.4 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 242 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Pension plan based on multi-employer union plan | Pension plan based on multi-employer union plan | 96.6 | 126.8 | 161.7 | Pension plan based on multi-employer union plan | 126.8 | 161.7 | 181.2 | |||||||||||||||||
Pension plans based on defined contribution & other plans | 55.9 | 55.8 | 67.5 | ||||||||||||||||||||||
Pension plans based on defined contribution and other plans | Pension plans based on defined contribution and other plans | 55.8 | 67.5 | 74.7 | |||||||||||||||||||||
Pension and retirement expenses | Pension and retirement expenses | 152.5 | 182.6 | 229.2 | Pension and retirement expenses | 182.6 | 229.2 | 255.9 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 243 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
LTI performance plan criteria | Weight | ||||||||
Market | |||||||||
Non-Market | |||||||||
Technology Leadership Index | Non-Market | ||||||||
Non-Market | |||||||||
Total |
Year ended December 31 | Year ended December 31 | 2019 | 2020 | 2021 | Year ended December 31 | 2020 | 2021 | 2022 | |||||||||||||||||
Share price in € at grant date | Share price in € at grant date | 199.5 | 270.7 | 462.9 | Share price in € at grant date | 270.7 | 462.9 | 548.0 | |||||||||||||||||
Expected volatility ASML | Expected volatility ASML | 29.8 | % | 28.9 % | 38.5 | % | Expected volatility ASML | 28.9 | % | 38.5 | % | 41.8 | % | ||||||||||||
Expected volatility PHLX index | Expected volatility PHLX index | 24.8 | % | 24.7 % | 35.3 | % | Expected volatility PHLX index | 24.7 | % | 35.3 | % | n/a | |||||||||||||
Average volatility of the peer group (market practice) | Average volatility of the peer group (market practice) | n/a | 47.8 | % | |||||||||||||||||||||
Vesting period | Vesting period | 2.5 years | 2.9 years | 2.9 years | Vesting period | 2.9 years | 2.7 years | ||||||||||||||||||
Dividend yield | Dividend yield | 1.1 | % | 0.9 % | 0.6 | % | Dividend yield | 0.9 | % | 0.6 | % | 1.0 | % | ||||||||||||
Risk free interest rate (Eurozone) | Risk free interest rate (Eurozone) | (0.8) | % | (0.6) | % | (0.8) | % | Risk free interest rate (Eurozone) | (0.6) | % | (0.8) | % | 0.5 | % | |||||||||||
Risk free interest rate (US) | Risk free interest rate (US) | 1.8 | % | 1.5 % | 0.2 | % | Risk free interest rate (US) | 1.5 | % | 0.2 | % | 2.8 | % |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Total incurred expenses | Total incurred expenses | 74.6 | 53.9 | 117.5 | Total incurred expenses | 53.9 | 117.5 | 68.9 | |||||||||||||||||
Recognized income tax benefit (excluding excess income tax benefits) | Recognized income tax benefit (excluding excess income tax benefits) | 5.9 | 6.6 | 8.2 | Recognized income tax benefit (excluding excess income tax benefits) | 6.6 | 8.2 | 10.2 | |||||||||||||||||
Total expected expenses in future periods | Total expected expenses in future periods | 95.8 | 85.9 | 125.4 | Total expected expenses in future periods | 85.9 | 125.4 | 113.0 | |||||||||||||||||
Weighted average period in which these expected expenses are to be recognized | Weighted average period in which these expected expenses are to be recognized | 1.6 years | 1.7 years | Weighted average period in which these expected expenses are to be recognized | 1.6 years | 1.7 years | 1.4 years |
EUR-denominated | USD-denominated | EUR-denominated | USD-denominated | |||||||||||||||||||||||||||||||||||||||||||
Year ended December 31 | Year ended December 31 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | Year ended December 31 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | ||||||||||||||||||||||||||||||||
Total fair value at vesting date of shares vested during the year (in millions) | Total fair value at vesting date of shares vested during the year (in millions) | 58.7 | 124.9 | 156.9 | 54.9 | 133.9 | 164.0 | Total fair value at vesting date of shares vested during the year (in millions) | 124.9 | 156.9 | 120.6 | 133.9 | 164.0 | 149.6 | ||||||||||||||||||||||||||||||||
Weighted average fair value of shares granted | Weighted average fair value of shares granted | 190.33 | 297.05 | 547.79 | 206.90 | 302.75 | 498.64 | Weighted average fair value of shares granted | 297.05 | 547.79 | 578.65 | 302.75 | 498.64 | 553.61 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 244 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
EUR-denominated | USD-denominated | EUR-denominated | USD-denominated | |||||||||||||||||||||||||
Number of shares | Weighted average fair value at grant date | Number of shares | Weighted average fair value at grant date | Number of shares | Weighted average fair value at grant date | Number of shares | Weighted average fair value at grant date | |||||||||||||||||||||
Conditional shares outstanding at January 1, 2021 | 555,094 | 201.44 | 444,754 | 225.26 | ||||||||||||||||||||||||
Conditional shares outstanding at January 1, 2022 | Conditional shares outstanding at January 1, 2022 | 452,205 | 303.32 | 297,001 | 416.07 | |||||||||||||||||||||||
Granted | Granted | 120,665 | 547.79 | 69,440 | 498.64 | Granted | 88,432 | 578.65 | 230,568 | 553.61 | ||||||||||||||||||
Vested | Vested | (222,085) | 273.86 | (205,945) | 270.80 | Vested | (239,685) | 247.17 | (273,861) | 418.03 | ||||||||||||||||||
Forfeited | Forfeited | (1,469) | 458.46 | (11,248) | 349.44 | Forfeited | (8,187) | 239.82 | (15,314) | 487.93 | ||||||||||||||||||
Conditional shares outstanding at December 31, 2021 | 452,205 | 303.32 | 297,001 | 416.07 | ||||||||||||||||||||||||
Conditional shares outstanding at December 31, 2022 | Conditional shares outstanding at December 31, 2022 | 292,765 | 434.10 | 238,394 | 542.22 |
EUR-denominated | USD-denominated | EUR-denominated | USD-denominated | |||||||||||||||||||||||||||||||||||||||||||
Year ended December 31 | Year ended December 31 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | Year ended December 31 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | ||||||||||||||||||||||||||||||||
Weighted average share price at the exercise date of stock options | Weighted average share price at the exercise date of stock options | 201.52 | 302.20 | 583.33 | 225.70 | 355.44 | 658.16 | Weighted average share price at the exercise date of stock options | 302.20 | 583.33 | 494.14 | 355.44 | 658.16 | 565.39 | ||||||||||||||||||||||||||||||||
Aggregate intrinsic value of stock options exercised (in millions) | Aggregate intrinsic value of stock options exercised (in millions) | 4.3 | 4.8 | 5.7 | 2.3 | 3.7 | 4.1 | Aggregate intrinsic value of stock options exercised (in millions) | 4.8 | 5.7 | 4.4 | 3.7 | 4.1 | 1.6 | ||||||||||||||||||||||||||||||||
Weighted average remaining contractual term of currently exercisable options (in years) | Weighted average remaining contractual term of currently exercisable options (in years) | 4.16 | 3.55 | 2.81 | 4.40 | 3.66 | 2.93 | Weighted average remaining contractual term of currently exercisable options (in years) | 3.55 | 2.81 | 2.08 | 3.66 | 2.93 | 2.09 | ||||||||||||||||||||||||||||||||
Aggregate intrinsic value of exercisable stock options (in millions) | Aggregate intrinsic value of exercisable stock options (in millions) | 17.7 | 22.4 | 36.7 | 11.8 | 16.9 | 24.9 | Aggregate intrinsic value of exercisable stock options (in millions) | 22.4 | 36.7 | 20.3 | 16.9 | 24.9 | 14.6 | ||||||||||||||||||||||||||||||||
Aggregate intrinsic value of outstanding stock options (in millions) | Aggregate intrinsic value of outstanding stock options (in millions) | 17.7 | 22.4 | 36.7 | 11.8 | 16.9 | 24.9 | Aggregate intrinsic value of outstanding stock options (in millions) | 22.4 | 36.7 | 20.3 | 16.9 | 24.9 | 14.6 |
EUR-denominated | USD-denominated | EUR-denominated | USD-denominated | |||||||||||||||||||||||||
Number of options | Weighted average exercise price per ordinary share (EUR) | Number of options | Weighted average exercise price per ordinary share (USD) | Number of options | Weighted average exercise price per ordinary share (EUR) | Number of options | Weighted average exercise price per ordinary share (USD) | |||||||||||||||||||||
Outstanding, January 1, 2021 | 68,540 | 70.02 | 42,255 | 86.87 | ||||||||||||||||||||||||
Outstanding, January 1, 2022 | Outstanding, January 1, 2022 | 57,923 | 73.87 | 35,251 | 90.36 | |||||||||||||||||||||||
Granted 1 | Granted 1 | — | — | — | — | Granted 1 | — | — | — | — | ||||||||||||||||||
Exercised | Exercised | (10,717) | 48.77 | (7,004) | 69.32 | Exercised | (10,016) | 55.49 | (3,113) | 64.73 | ||||||||||||||||||
Forfeited | Forfeited | 100 | 28.77 | — | — | Forfeited | — | — | — | — | ||||||||||||||||||
Expired | Expired | — | — | — | — | Expired | (300) | 40.03 | — | — | ||||||||||||||||||
Outstanding, December 31, 2021 | 57,923 | 73.87 | 35,251 | 90.36 | ||||||||||||||||||||||||
Exercisable, December 31, 2021 | 57,923 | 73.87 | 35,251 | 90.36 | ||||||||||||||||||||||||
Outstanding, December 31, 2022 | Outstanding, December 31, 2022 | 47,607 | 77.95 | 32,138 | 92.84 | |||||||||||||||||||||||
Exercisable, December 31, 2022 | Exercisable, December 31, 2022 | 47,607 | 77.95 | 32,138 | 92.84 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 245 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
EUR-denominated | USD-denominated | |||||||||||||||||||
Range of exercise prices (€) | Number of outstanding options | Weighted average remaining contractual life of outstanding (years) | Range of exercise prices (USD) | Number of outstanding options | Weighted average remaining contractual life of outstanding (years) | |||||||||||||||
25 - 40 | 234 | 0.08 | 25 - 40 | — | 0.00 | |||||||||||||||
40 - 50 | 5,902 | 0.80 | 40 - 50 | 291 | 0.05 | |||||||||||||||
50 - 60 | 5,376 | 1.95 | 50 - 60 | 1,699 | 0.62 | |||||||||||||||
60 - 70 | 12,355 | 1.94 | 60 - 70 | 393 | 1.06 | |||||||||||||||
70 - 80 | 10,920 | 3.35 | 70 - 80 | 843 | 1.30 | |||||||||||||||
80 - 90 | 11,625 | 3.85 | 80 - 90 | 9,036 | 2.89 | |||||||||||||||
90 - 100 | 11,511 | 3.69 | 90 - 100 | 16,062 | 3.02 | |||||||||||||||
100 - 110 | — | 0.00 | 100 - 110 | 6,927 | 3.74 | |||||||||||||||
Total | 57,923 | 2.81 | Total | 35,251 | 2.93 |
EUR-denominated | USD-denominated | ||||||||||||||||
Range of exercise prices (€) | Number of outstanding options | Weighted average remaining contractual life of outstanding (years) | Range of exercise prices (USD) | Number of outstanding options | Weighted average remaining contractual life of outstanding (years) | ||||||||||||
50–60 | 5,268 | 0.96 | 50–60 | — | 0.00 | ||||||||||||
60–70 | 10,773 | 0.96 | 60–70 | 278 | 0.06 | ||||||||||||
70–80 | 10,109 | 2.35 | 70–80 | 828 | 0.30 | ||||||||||||
80–90 | 10,791 | 2.83 | 80–90 | 8,855 | 1.90 | ||||||||||||
90–100 | 10,666 | 2.73 | 90–100 | 15,308 | 2.05 | ||||||||||||
100–110 | — | 0.00 | 100–110 | 6,869 | 2.74 | ||||||||||||
Total | 47,607 | 2.08 | Total | 32,138 | 2.09 |
Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | ||||||||
Netherlands | 2,441.2 | 3,574.6 | 5,982.8 | ||||||||
Foreign | 324.6 | 442.0 | 722.7 | ||||||||
Income before income taxes | 2,765.8 | 4,016.6 | 6,705.5 | ||||||||
Income tax expense current | (305.5) | (407.7) | (865.0) | ||||||||
Income tax expense deferred | 74.8 | 1.4 | (28.6) | ||||||||
Income tax expense Netherlands | (230.7) | (406.3) | (893.6) | ||||||||
Income tax expense current | (118.4) | (375.3) | (523.5) | ||||||||
Income tax expense deferred | 157.4 | 230.1 | 395.7 | ||||||||
Income tax expense Foreign | 39.0 | (145.2) | (127.8) | ||||||||
Total income tax expense current | (423.9) | (783.0) | (1,388.5) | ||||||||
Total income tax expense deferred | 232.2 | 231.5 | 367.1 | ||||||||
Total income tax expense | (191.7) | (551.5) | (1,021.4) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 246 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||
Netherlands | 3,574.6 | 5,982.8 | 5,881.0 | |||||||||||
Foreign | 442.0 | 722.7 | 575.1 | |||||||||||
Income before income taxes | 4,016.6 | 6,705.5 | 6,456.1 | |||||||||||
Income tax expense current | (407.7) | (865.0) | (818.4) | |||||||||||
Income tax expense deferred | 1.4 | (28.6) | (44.4) | |||||||||||
Income tax expense Netherlands | (406.3) | (893.6) | (862.8) | |||||||||||
Income tax expense current | (375.3) | (523.5) | (678.3) | |||||||||||
Income tax expense deferred | 230.1 | 395.7 | 571.2 | |||||||||||
Income tax expense Foreign | (145.2) | (127.8) | (107.1) | |||||||||||
Total income tax expense current | (783.0) | (1,388.5) | (1,496.7) | |||||||||||
Total income tax expense deferred | 231.5 | 367.1 | 526.8 | |||||||||||
Total income tax expense | (551.5) | (1,021.4) | (969.9) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Current year tax expense | Current year tax expense | (470.6) | (743.7) | (1,367.2) | Current year tax expense | (743.7) | (1,367.2) | (1,440.9) | |||||||||||||||||
Prior year tax expense | Prior year tax expense | 46.7 | (39.3) | (21.3) | Prior year tax expense | (39.3) | (21.3) | (55.8) | |||||||||||||||||
Current tax expense | (423.9) | (783.0) | (1,388.5) | ||||||||||||||||||||||
Total current tax expense | Total current tax expense | (783.0) | (1,388.5) | (1,496.7) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Changes to recognition of tax losses and tax credits | 7.6 | (56.9) | (37.2) | ||||||||||||||||||||||
Changes to recognition of operating losses and tax credits | Changes to recognition of operating losses and tax credits | (56.9) | (37.2) | (41.2) | |||||||||||||||||||||
Prior year tax expense | Prior year tax expense | 9.8 | 27.0 | (2.4) | Prior year tax expense | 27.0 | (2.4) | 79.2 | |||||||||||||||||
Tax rate changes | Tax rate changes | — | 15.0 | 1.5 | Tax rate changes | 15.0 | 1.5 | (1.1) | |||||||||||||||||
Origination and reversal of temporary differences, tax losses and tax credits | 214.8 | 246.4 | 405.2 | ||||||||||||||||||||||
Deferred tax expense | 232.2 | 231.5 | 367.1 | ||||||||||||||||||||||
Origination and reversal of temporary differences, operating losses and tax credits | Origination and reversal of temporary differences, operating losses and tax credits | 246.4 | 405.2 | 489.9 | |||||||||||||||||||||
Total deferred tax expense | Total deferred tax expense | 231.5 | 367.1 | 526.8 |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | %1 | 2020 | %1 | 2021 | %1 | Year ended December 31 (€, in millions) | 2020 | %1 | 2021 | %1 | 2022 | %1 | |||||||||||||||||||||||||||||
Income before income taxes | Income before income taxes | 2,765.8 | 100.0 | % | 4,016.6 | 100.0 | % | 6,705.5 | 100.0 | % | Income before income taxes | 4,016.6 | 100.0 | % | 6,705.5 | 100.0 | % | 6,456.1 | 100.0 | % | |||||||||||||||||||||||
Income tax expense based on ASML’s domestic rate | Income tax expense based on ASML’s domestic rate | (691.4) | 25.0 | % | (1,004.1) | 25.0 | % | (1,676.4) | 25.0 | % | Income tax expense based on ASML’s domestic rate | (1,004.1) | 25.0 | % | (1,676.4) | 25.0 | % | (1,665.7) | 25.8 | % | |||||||||||||||||||||||
Effects of tax rates in foreign jurisdictions | Effects of tax rates in foreign jurisdictions | 5.0 | (0.2) | % | 0.9 | — | % | (4.6) | 0.1 | % | Effects of tax rates in foreign jurisdictions | 0.9 | — | % | (4.6) | 0.1 | % | 13.0 | (0.2) | % | |||||||||||||||||||||||
Adjustments in respect of tax exempt income | 7.2 | (0.3) | % | 0.2 | — | % | — | — | % | ||||||||||||||||||||||||||||||||||
Adjustments in respect of tax-exempt income | Adjustments in respect of tax-exempt income | 0.2 | — | % | — | — | % | — | — | % | |||||||||||||||||||||||||||||||||
Adjustments in respect of tax incentives | Adjustments in respect of tax incentives | 351.0 | (12.7) | % | 510.4 | (12.7) | % | 727.3 | (10.8) | % | Adjustments in respect of tax incentives | 510.4 | (12.7) | % | 727.3 | (10.8) | % | 741.2 | (11.5) | % | |||||||||||||||||||||||
Adjustments in respect of prior years’ current taxes | Adjustments in respect of prior years’ current taxes | 46.7 | (1.7) | % | (39.3) | 1.0 | % | (21.3) | 0.3 | % | Adjustments in respect of prior years’ current taxes | (39.3) | 1.0 | % | (21.3) | 0.3 | % | (55.8) | 0.9 | % | |||||||||||||||||||||||
Adjustments in respect of prior years’ deferred taxes | Adjustments in respect of prior years’ deferred taxes | 9.8 | (0.4) | % | 27.0 | (0.7) | % | (2.4) | — | % | Adjustments in respect of prior years’ deferred taxes | 27.0 | (0.7) | % | (2.4) | — | % | 79.2 | (1.2) | % | |||||||||||||||||||||||
Movements in the liability for unrecognized tax benefits | Movements in the liability for unrecognized tax benefits | (16.9) | 0.6 | % | (41.0) | 1.0 | % | (21.6) | 0.3 | % | Movements in the liability for unrecognized tax benefits | (41.0) | 1.0 | % | (21.6) | 0.3 | % | (9.9) | 0.2 | % | |||||||||||||||||||||||
Tax effects in respect of acquisition/restructuring related items | Tax effects in respect of acquisition/restructuring related items | 89.8 | (3.2) | % | — | — | % | 35.9 | (0.5) | % | Tax effects in respect of acquisition/restructuring related items | — | — | % | 35.9 | (0.5) | % | — | — | % | |||||||||||||||||||||||
Change in valuation allowance | Change in valuation allowance | 7.6 | (0.3) | % | (56.9) | 1.4 | % | (37.2) | 0.6 | % | Change in valuation allowance | (56.9) | 1.4 | % | (37.2) | 0.6 | % | (41.2) | 0.6 | % | |||||||||||||||||||||||
Equity method investments | Equity method investments | (19.7) | 0.7 | % | (20.9) | 0.5 | % | (46.7) | 0.7 | % | Equity method investments | (20.9) | 0.5 | % | (46.7) | 0.7 | % | (38.3) | 0.6 | % | |||||||||||||||||||||||
Effect of change in tax rates | Effect of change in tax rates | — | — | % | 15.0 | (0.4) | % | 1.5 | — | % | Effect of change in tax rates | 15.0 | (0.4) | % | 1.5 | — | % | (1.1) | — | % | |||||||||||||||||||||||
Other (credits) and non-tax deductible items | Other (credits) and non-tax deductible items | 19.2 | (0.7) | % | 57.2 | (1.4) | % | 24.1 | (0.4) | % | Other (credits) and non-tax deductible items | 57.2 | (1.4) | % | 24.1 | (0.4) | % | 8.7 | (0.1) | % | |||||||||||||||||||||||
Income tax expense | Income tax expense | (191.7) | 6.8 | % | (551.5) | 13.7 | % | (1,021.4) | 15.2 | % | Income tax expense | (551.5) | 13.7 | % | (1,021.4) | 15.2 | % | (969.9) | 15.0 | % |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 247 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 248 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||
Liability for unrecognized tax benefits | Liability for unrecognized tax benefits | (200.4) | (205.9) | Liability for unrecognized tax benefits | (200.4) | (205.9) | (215.5) | |||||||||||||||
Deferred tax assets | Deferred tax assets | 671.5 | 1,098.7 | Deferred tax assets | 671.5 | 1,098.7 | 1,672.8 | |||||||||||||||
Deferred tax liabilities | Deferred tax liabilities | (37.9) | (34.7) | Deferred tax liabilities | (37.9) | (34.7) | (51.5) | |||||||||||||||
Deferred and other tax assets (liabilities) | Deferred and other tax assets (liabilities) | 433.2 | 858.1 | Deferred and other tax assets (liabilities) | 433.2 | 858.1 | 1,405.8 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 249 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||
Balance as at January 1 | Balance as at January 1 | (150.7) | (138.0) | Balance as at January 1 | (150.7) | (138.0) | (144.3) | |||||||||||||||
Gross presentation for different tax jurisdictions | Gross presentation for different tax jurisdictions | (27.3) | — | Gross presentation for different tax jurisdictions | (27.3) | — | — | |||||||||||||||
Gross increases – tax positions in prior period | Gross increases – tax positions in prior period | (66.6) | (21.6) | Gross increases – tax positions in prior period | (66.6) | (21.6) | (11.7) | |||||||||||||||
Gross decreases – tax positions in prior period | Gross decreases – tax positions in prior period | 0.5 | 8.9 | Gross decreases – tax positions in prior period | 0.5 | 8.9 | 2.0 | |||||||||||||||
Gross increases – tax positions in current period | Gross increases – tax positions in current period | (21.6) | (18.8) | Gross increases – tax positions in current period | (21.6) | (18.8) | (23.1) | |||||||||||||||
Settlements | Settlements | 106.6 | 2.5 | Settlements | 106.6 | 2.5 | 6.8 | |||||||||||||||
Lapse of statute of limitations | Lapse of statute of limitations | 14.5 | 32.0 | Lapse of statute of limitations | 14.5 | 32.0 | 13.2 | |||||||||||||||
Effect of changes in exchange rates | Effect of changes in exchange rates | 6.6 | (9.3) | Effect of changes in exchange rates | 6.6 | (9.3) | (2.9) | |||||||||||||||
Total liability for unrecognized tax benefits | Total liability for unrecognized tax benefits | (138.0) | (144.3) | Total liability for unrecognized tax benefits | (138.0) | (144.3) | (160.0) | |||||||||||||||
Balance of accrued interest and penalties | Balance of accrued interest and penalties | (62.4) | (61.6) | Balance of accrued interest and penalties | (62.4) | (61.6) | (55.5) | |||||||||||||||
Total liabilities for unrecognized tax benefits including interest and penalties | Total liabilities for unrecognized tax benefits including interest and penalties | (200.4) | (205.9) | Total liabilities for unrecognized tax benefits including interest and penalties | (200.4) | (205.9) | (215.5) |
Country | Years | ||||
Netherlands | |||||
US | |||||
Taiwan | |||||
South Korea | |||||
China |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 250 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Deferred taxes (€, in millions) | Deferred taxes (€, in millions) | January 1, 2021 | Credits and other | Consolidated Statements of Operations | Income tax recognized in Other Comprehensive Income | Effect of changes in exchange rates | December 31, 2021 | Deferred taxes (€, in millions) | January 1, 2022 | Credits and other | Consolidated Statements of Operations | Income tax recognized in Other Comprehensive Income | Effect of changes in exchange rates | December 31, 2022 | |||||||||||||||||||||||||||||||||||
Deferred tax assets: | Deferred tax assets: | Deferred tax assets: | |||||||||||||||||||||||||||||||||||||||||||||||
Capitalized R&D expenditures | Capitalized R&D expenditures | 287.1 | — | 106.8 | — | 26.5 | 420.4 | Capitalized R&D expenditures | 420.4 | — | 151.2 | — | 20.5 | 592.1 | |||||||||||||||||||||||||||||||||||
R&D & other credit carry forwards | 117.2 | 21.4 | 16.4 | — | 7.7 | 162.7 | |||||||||||||||||||||||||||||||||||||||||||
R&D & other tax credit carry forwards | R&D & other tax credit carry forwards | 162.7 | 23.7 | 20.6 | — | 6.4 | 213.4 | ||||||||||||||||||||||||||||||||||||||||||
Inventories | Inventories | 37.2 | — | (7.2) | — | 1.5 | 31.5 | Inventories | 31.5 | — | 12.5 | — | 1.2 | 45.2 | |||||||||||||||||||||||||||||||||||
Deferred revenue | 125.2 | — | 288.0 | — | 10.0 | 423.2 | |||||||||||||||||||||||||||||||||||||||||||
Contract liabilities | Contract liabilities | 423.2 | — | 400.8 | — | (3.2) | 820.8 | ||||||||||||||||||||||||||||||||||||||||||
Accrued and other liabilities | Accrued and other liabilities | 87.8 | — | 5.7 | — | 4.6 | 98.1 | Accrued and other liabilities | 98.1 | — | 4.4 | — | 3.3 | 105.8 | |||||||||||||||||||||||||||||||||||
Installation and warranty reserve | 16.4 | — | (6.3) | — | 1.2 | 11.3 | |||||||||||||||||||||||||||||||||||||||||||
Tax effect carry-forward losses | 27.1 | — | (19.9) | — | 0.2 | 7.4 | |||||||||||||||||||||||||||||||||||||||||||
Standard warranty reserve | Standard warranty reserve | 11.3 | — | (4.1) | — | 0.9 | 8.1 | ||||||||||||||||||||||||||||||||||||||||||
Operating loss carry forwards | Operating loss carry forwards | 7.4 | — | (2.8) | — | (0.1) | 4.5 | ||||||||||||||||||||||||||||||||||||||||||
Property, plant and equipment | Property, plant and equipment | 26.9 | — | (10.8) | — | 2.5 | 18.6 | Property, plant and equipment | 18.6 | — | 1.7 | — | (1.4) | 18.9 | |||||||||||||||||||||||||||||||||||
Lease liabilities | Lease liabilities | 6.5 | — | 16.2 | — | 0.5 | 23.2 | Lease liabilities | 23.2 | — | 3.1 | — | 1.1 | 27.4 | |||||||||||||||||||||||||||||||||||
Intangible fixed assets | 143.5 | — | — | — | — | 143.5 | |||||||||||||||||||||||||||||||||||||||||||
Other intangible assets | Other intangible assets | 143.5 | — | (18.7) | — | — | 124.8 | ||||||||||||||||||||||||||||||||||||||||||
Share-based payments | Share-based payments | 7.2 | — | 1.8 | — | 0.6 | 9.6 | Share-based payments | 9.6 | — | 1.2 | — | 0.6 | 11.4 | |||||||||||||||||||||||||||||||||||
Other temporary differences | Other temporary differences | 23.9 | — | 7.5 | (1.0) | (2.9) | 27.5 | Other temporary differences | 27.5 | — | 3.7 | (6.5) | (1.4) | 23.3 | |||||||||||||||||||||||||||||||||||
Total deferred tax assets, gross | Total deferred tax assets, gross | 906.0 | 21.4 | 398.2 | (1.0) | 52.4 | 1,377.0 | Total deferred tax assets, gross | 1,377.0 | 23.7 | 573.6 | (6.5) | 27.9 | 1,995.7 | |||||||||||||||||||||||||||||||||||
Valuation allowance 1 | Valuation allowance 1 | (122.5) | — | (37.2) | — | (7.9) | (167.6) | Valuation allowance 1 | (167.6) | — | (41.2) | — | (6.6) | (215.4) | |||||||||||||||||||||||||||||||||||
Total deferred tax assets, net | Total deferred tax assets, net | 783.5 | 21.4 | 361.0 | (1.0) | 44.5 | 1,209.4 | Total deferred tax assets, net | 1,209.4 | 23.7 | 532.4 | (6.5) | 21.3 | 1,780.3 | |||||||||||||||||||||||||||||||||||
Deferred tax liabilities: | Deferred tax liabilities: | Deferred tax liabilities: | |||||||||||||||||||||||||||||||||||||||||||||||
Intangible fixed assets | (93.9) | 2.9 | 17.1 | — | (6.0) | (79.9) | |||||||||||||||||||||||||||||||||||||||||||
Other intangible assets | Other intangible assets | (79.9) | — | 19.8 | — | (5.3) | (65.4) | ||||||||||||||||||||||||||||||||||||||||||
Goodwill | Goodwill | (15.6) | — | (5.3) | — | — | (20.9) | Goodwill | (20.9) | — | (7.9) | — | — | (28.8) | |||||||||||||||||||||||||||||||||||
Right-of-use assets | Right-of-use assets | (6.5) | — | (16.2) | — | (0.5) | (23.2) | Right-of-use assets | (23.2) | — | (3.1) | — | (1.1) | (27.4) | |||||||||||||||||||||||||||||||||||
Property, plant and equipment | Property, plant and equipment | (5.4) | — | (4.3) | — | (1.2) | (10.9) | Property, plant and equipment | (10.9) | — | 1.5 | — | (0.4) | (9.8) | |||||||||||||||||||||||||||||||||||
Deferred revenue | (18.2) | — | 10.3 | — | — | (7.9) | |||||||||||||||||||||||||||||||||||||||||||
Borrowing costs long-term debt | (1.6) | — | 0.1 | — | — | (1.5) | |||||||||||||||||||||||||||||||||||||||||||
Contract liabilities | Contract liabilities | (7.9) | — | (8.4) | — | — | (16.3) | ||||||||||||||||||||||||||||||||||||||||||
Long-term debt | Long-term debt | (1.5) | — | — | — | — | (1.5) | ||||||||||||||||||||||||||||||||||||||||||
Other temporary differences | Other temporary differences | (8.7) | 2.5 | 4.4 | — | 0.7 | (1.1) | Other temporary differences | (1.1) | — | (7.5) | (2.1) | 0.9 | (9.8) | |||||||||||||||||||||||||||||||||||
Total deferred tax liabilities | Total deferred tax liabilities | (149.9) | 5.4 | 6.1 | — | (7.0) | (145.4) | Total deferred tax liabilities | (145.4) | — | (5.6) | (2.1) | (5.9) | (159.0) | |||||||||||||||||||||||||||||||||||
Net deferred tax assets (liabilities) | Net deferred tax assets (liabilities) | 633.6 | 26.8 | 367.1 | (1.0) | 37.5 | 1,064.0 | Net deferred tax assets (liabilities) | 1,064.0 | 23.7 | 526.8 | (8.6) | 15.4 | 1,621.3 | |||||||||||||||||||||||||||||||||||
Classified as: | Classified as: | Classified as: | |||||||||||||||||||||||||||||||||||||||||||||||
Deferred tax assets – non-current | Deferred tax assets – non-current | 671.5 | 1,098.7 | Deferred tax assets – non-current | 1,098.7 | 1,672.8 | |||||||||||||||||||||||||||||||||||||||||||
Deferred tax liabilities – non-current | Deferred tax liabilities – non-current | (37.9) | (34.7) | Deferred tax liabilities – non-current | (34.7) | (51.5) | |||||||||||||||||||||||||||||||||||||||||||
Net deferred tax assets (liabilities) | Net deferred tax assets (liabilities) | 633.6 | 1,064.0 | Net deferred tax assets (liabilities) | 1,064.0 | 1,621.3 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 251 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Deferred taxes (€, in millions) | Deferred taxes (€, in millions) | January 1, 2020 | Acquisitions through business combinations | Consolidated Statements of Operations | Income tax recognized in Other Comprehensive Income | Effect of changes in exchange rates | December 31, 2020 | Deferred taxes (€, in millions) | January 1, 2021 | Credits and other | Consolidated Statements of Operations | Income tax recognized in Other Comprehensive Income | Effect of changes in exchange rates | December 31, 2021 | |||||||||||||||||||||||||||||||||||
Deferred tax assets: | Deferred tax assets: | Deferred tax assets: | |||||||||||||||||||||||||||||||||||||||||||||||
Capitalized R&D expenditures | Capitalized R&D expenditures | 192.9 | — | 117.3 | — | (23.1) | 287.1 | Capitalized R&D expenditures | 287.1 | — | 106.8 | — | 26.5 | 420.4 | |||||||||||||||||||||||||||||||||||
R&D & other credit carry forwards | 60.8 | — | 63.7 | — | (7.3) | 117.2 | |||||||||||||||||||||||||||||||||||||||||||
R&D & other tax credit carry forwards | R&D & other tax credit carry forwards | 117.2 | 21.4 | 16.4 | — | 7.7 | 162.7 | ||||||||||||||||||||||||||||||||||||||||||
Inventories | Inventories | 49.3 | — | (9.0) | — | (3.1) | 37.2 | Inventories | 37.2 | — | (7.2) | — | 1.5 | 31.5 | |||||||||||||||||||||||||||||||||||
Deferred revenue | 56.8 | — | 70.8 | — | (2.4) | 125.2 | |||||||||||||||||||||||||||||||||||||||||||
Contract liabilities | Contract liabilities | 125.2 | — | 288.0 | — | 10.0 | 423.2 | ||||||||||||||||||||||||||||||||||||||||||
Accrued and other liabilities | Accrued and other liabilities | 73.4 | 3.8 | 15.9 | — | (5.3) | 87.8 | Accrued and other liabilities | 87.8 | — | 5.7 | — | 4.6 | 98.1 | |||||||||||||||||||||||||||||||||||
Installation and warranty reserve | 12.3 | — | 5.4 | — | (1.3) | 16.4 | |||||||||||||||||||||||||||||||||||||||||||
Tax effect carry-forward losses | 12.5 | — | 15.3 | — | (0.7) | 27.1 | |||||||||||||||||||||||||||||||||||||||||||
Standard warranty reserve | Standard warranty reserve | 16.4 | — | (6.3) | — | 1.2 | 11.3 | ||||||||||||||||||||||||||||||||||||||||||
Operating loss carry forwards | Operating loss carry forwards | 27.1 | — | (19.9) | — | 0.2 | 7.4 | ||||||||||||||||||||||||||||||||||||||||||
Property, plant and equipment | Property, plant and equipment | 32.8 | 0.8 | (7.0) | — | 0.3 | 26.9 | Property, plant and equipment | 26.9 | — | (10.8) | — | 2.5 | 18.6 | |||||||||||||||||||||||||||||||||||
Lease liabilities | Lease liabilities | 8.1 | — | (1.6) | — | — | 6.5 | Lease liabilities | 6.5 | — | 16.2 | — | 0.5 | 23.2 | |||||||||||||||||||||||||||||||||||
Intangible fixed assets | 129.8 | — | 13.7 | — | — | 143.5 | |||||||||||||||||||||||||||||||||||||||||||
Other intangible assets | Other intangible assets | 143.5 | — | — | — | — | 143.5 | ||||||||||||||||||||||||||||||||||||||||||
Share-based payments | Share-based payments | 8.5 | — | (0.6) | — | (0.7) | 7.2 | Share-based payments | 7.2 | — | 1.8 | — | 0.6 | 9.6 | |||||||||||||||||||||||||||||||||||
Other temporary differences | Other temporary differences | 20.3 | 1.9 | 0.6 | 1.1 | 23.9 | Other temporary differences | 23.9 | — | 7.5 | (1.0) | (2.9) | 27.5 | ||||||||||||||||||||||||||||||||||||
Total deferred tax assets, gross | Total deferred tax assets, gross | 657.5 | 4.6 | 285.8 | 0.6 | (42.5) | 906.0 | Total deferred tax assets, gross | 906.0 | 21.4 | 398.2 | (1.0) | 52.4 | 1,377.0 | |||||||||||||||||||||||||||||||||||
Valuation allowance 1 | Valuation allowance 1 | (73.6) | — | (56.9) | — | 8.0 | (122.5) | Valuation allowance1 | (122.5) | — | (37.2) | — | (7.9) | (167.6) | |||||||||||||||||||||||||||||||||||
Total deferred tax assets, net | Total deferred tax assets, net | 583.9 | 4.6 | 228.9 | 0.6 | (34.5) | 783.5 | Total deferred tax assets, net | 783.5 | 21.4 | 361.0 | (1.0) | 44.5 | 1,209.4 | |||||||||||||||||||||||||||||||||||
Deferred tax liabilities: | Deferred tax liabilities: | Deferred tax liabilities: | |||||||||||||||||||||||||||||||||||||||||||||||
Intangible fixed assets | (104.2) | (8.9) | 11.0 | — | 8.2 | (93.9) | |||||||||||||||||||||||||||||||||||||||||||
Other intangible assets | Other intangible assets | (93.9) | 2.9 | 17.1 | — | (6.0) | (79.9) | ||||||||||||||||||||||||||||||||||||||||||
Goodwill | Goodwill | (6.6) | — | (9.0) | — | — | (15.6) | Goodwill | (15.6) | — | (5.3) | — | — | (20.9) | |||||||||||||||||||||||||||||||||||
Right-of-use assets | Right-of-use assets | (8.1) | — | 1.6 | — | — | (6.5) | Right-of-use assets | (6.5) | — | (16.2) | — | (0.5) | (23.2) | |||||||||||||||||||||||||||||||||||
Property, plant and equipment | Property, plant and equipment | (15.3) | (1.9) | 10.9 | — | 0.9 | (5.4) | Property, plant and equipment | (5.4) | — | (4.3) | — | (1.2) | (10.9) | |||||||||||||||||||||||||||||||||||
Deferred revenue | (13.1) | — | (5.1) | — | — | (18.2) | |||||||||||||||||||||||||||||||||||||||||||
Borrowing costs long-term debt | (1.5) | — | (0.1) | — | — | (1.6) | |||||||||||||||||||||||||||||||||||||||||||
Contract liabilities | Contract liabilities | (18.2) | — | 10.3 | — | — | (7.9) | ||||||||||||||||||||||||||||||||||||||||||
Long-term debt | Long-term debt | (1.6) | — | 0.1 | — | — | (1.5) | ||||||||||||||||||||||||||||||||||||||||||
Other temporary differences | Other temporary differences | 2.9 | (5.7) | (6.7) | — | 0.8 | (8.7) | Other temporary differences | (8.7) | 2.5 | 4.4 | — | 0.7 | (1.1) | |||||||||||||||||||||||||||||||||||
Total deferred tax liabilities | Total deferred tax liabilities | (145.9) | (16.5) | 2.6 | — | 9.9 | (149.9) | Total deferred tax liabilities | (149.9) | 5.4 | 6.1 | — | (7.0) | (145.4) | |||||||||||||||||||||||||||||||||||
Net deferred tax assets (liabilities) | Net deferred tax assets (liabilities) | 438.0 | (11.9) | 231.5 | 0.6 | (24.6) | 633.6 | Net deferred tax assets (liabilities) | 633.6 | 26.8 | 367.1 | (1.0) | 37.5 | 1,064.0 | |||||||||||||||||||||||||||||||||||
Classified as: | Classified as: | Classified as: | |||||||||||||||||||||||||||||||||||||||||||||||
Deferred tax assets – non-current | Deferred tax assets – non-current | 445.3 | 671.5 | Deferred tax assets – non-current | 671.5 | 1,098.7 | |||||||||||||||||||||||||||||||||||||||||||
Deferred tax liabilities – non-current | Deferred tax liabilities – non-current | (7.3) | (37.9) | Deferred tax liabilities – non-current | (37.9) | (34.7) | |||||||||||||||||||||||||||||||||||||||||||
Net deferred tax assets (liabilities) | Net deferred tax assets (liabilities) | 438.0 | 633.6 | Net deferred tax assets (liabilities) | 633.6 | 1,064.0 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 252 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Type of shares | Type of shares | Amount of shares | Nominal value | Votes per share | Type of shares | Number of shares | Nominal value | Votes per share | ||||||||||||||
Cumulative preference shares | Cumulative preference shares | 700,000,000 | €0.09 per share | 9 | Cumulative preference shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||
Ordinary shares | Ordinary shares | 699,999,000 | €0.09 per share | 9 | Ordinary shares | 700,000,000 | €0.09 per share | 1 | ||||||||||||||
Ordinary shares B | 9,000 | €0.01 per share | 1 |
Year ended December 31 | Year ended December 31 | 2019 | 2020 | 2021 | Year ended December 31 | 2020 | 2021 | 2022 | |||||||||||||||||
Issued ordinary shares with nominal value of €0.09 | Issued ordinary shares with nominal value of €0.09 | 419,810,706 | 416,514,034 | 402,601,613 | Issued ordinary shares with nominal value of €0.09 | 416,514,034 | 402,601,613 | 394,589,411 | |||||||||||||||||
Issued ordinary treasury shares with nominal value of €0.09 | Issued ordinary treasury shares with nominal value of €0.09 | 5,848,998 | 2,983,454 | 3,873,663 | Issued ordinary treasury shares with nominal value of €0.09 | 2,983,454 | 3,873,663 | 8,548,631 | |||||||||||||||||
Total issued ordinary shares with nominal value of €0.09 | Total issued ordinary shares with nominal value of €0.09 | 425,659,704 | 419,497,488 | 406,475,276 | Total issued ordinary shares with nominal value of €0.09 | 419,497,488 | 406,475,276 | 403,138,042 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 253 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 254 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Period | Total number of shares purchased | Average price paid per Share (€) | Total number of shares purchased under programs | Maximum value of shares that may yet be purchased (€ millions) | ||||||||||
January 21 - 31, 2021 | 495,533 | 455.68 | 495,533 | 4,566.7 | ||||||||||
February 1 - 28, 2021 | 1,360,410 | 474.24 | 1,855,943 | 3,921.6 | ||||||||||
March 1 - 31, 2021 | 1,580,604 | 469.40 | 3,436,547 | 3,179.6 | ||||||||||
April 1 - 30, 2021 | 1,128,123 | 537.04 | 4,564,670 | 2,573.8 | ||||||||||
May 1 - 31, 2021 | 1,240,714 | 528.93 | 5,805,384 | 1,917.5 | ||||||||||
June 1 - 30, 2021 | 1,204,128 | 570.95 | 7,009,512 | 1,230.0 | ||||||||||
July 1 - 31, 2021 | 1,178,129 | 603.46 | 8,187,641 | 8,726.6 | ||||||||||
August 1 - 31, 2021 | 1,274,521 | 674.28 | 9,462,162 | 7,867.2 | ||||||||||
September 1 - 30, 2021 | 1,188,430 | 723.11 | 10,650,592 | 7,007.8 | ||||||||||
October 1 - 31, 2021 | 1,237,721 | 658.97 | 11,888,313 | 6,192.2 | ||||||||||
November 1 - 30, 2021 | 1,393,794 | 726.43 | 13,282,107 | 5,179.7 | ||||||||||
December 1 - 23, 2021 | 1,076,731 | 687.26 | 14,358,838 | 4,439.7 | ||||||||||
Total | 14,358,838 | 596.17 |
Period | Total number of shares purchased | Average price paid per Share (€) | Total number of shares purchased under programs | Maximum value of shares that may yet be purchased (€ millions) | ||||||||||
January 3 - 31, 2022 | 1,107,187 | 630.21 | 1,107,187 | 3,741.9 | ||||||||||
February 1 - 28, 2022 | 1,150,011 | 572.80 | 2,257,198 | 3,083.2 | ||||||||||
March 1 - 31, 2022 | 1,241,647 | 575.99 | 3,498,845 | 2,368.0 | ||||||||||
April 1 - 30, 2022 | 808,095 | 573.12 | 4,306,940 | 1,904.9 | ||||||||||
May 1 - 31, 2022 | 675,117 | 522.70 | 4,982,057 | 1,552.0 | ||||||||||
June 1 - 30, 2022 | 717,092 | 488.27 | 5,699,149 | 1,201.9 | ||||||||||
July 1 - 31, 2022 | 666,112 | 467.26 | 6,365,261 | 890.6 | ||||||||||
August 1 - 31, 2022 | 673,412 | 541.36 | 7,038,673 | 526.1 | ||||||||||
September 1 - 30, 2022 | 907,391 | 466.94 | 7,946,064 | 102.4 | ||||||||||
October 1 - 31, 2022 | 237,399 | 431.23 | 8,183,463 | — | ||||||||||
November 1 - 30, 2022 | 152,323 | 568.91 | 8,335,786 | 11,913.3 | ||||||||||
December 1 - 23, 2022 | 203,001 | 558.33 | 8,538,787 | 11,800.0 | ||||||||||
Total | 8,538,787 | 543.37 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 255 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions, except per share data) | Year ended December 31 (€, in millions, except per share data) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions, except per share data) | 2020 | 2021 | 2022 | |||||||||||||||||
Net income | Net income | 2,592.3 | 3,553.7 | 5,883.2 | Net income | 3,553.7 | 5,883.2 | 5,624.2 | |||||||||||||||||
Weighted average number of shares outstanding | Weighted average number of shares outstanding | 420.8 | 418.3 | 409.8 | Weighted average number of shares outstanding | 418.3 | 409.8 | 397.7 | |||||||||||||||||
Basic net income per ordinary share | Basic net income per ordinary share | 6.16 | 8.49 | 14.36 | Basic net income per ordinary share | 8.49 | 14.36 | 14.14 | |||||||||||||||||
Weighted average number of shares outstanding | Weighted average number of shares outstanding | 420.8 | 418.3 | 409.8 | Weighted average number of shares outstanding | 418.3 | 409.8 | 397.7 | |||||||||||||||||
Plus shares applicable to options and conditional shares | Plus shares applicable to options and conditional shares | 0.9 | 0.8 | 0.6 | Plus shares applicable to options and conditional shares | 0.8 | 0.6 | 0.3 | |||||||||||||||||
Diluted weighted average number of shares | Diluted weighted average number of shares | 421.6 | 419.1 | 410.4 | Diluted weighted average number of shares | 419.1 | 410.4 | 398.0 | |||||||||||||||||
Diluted net income per ordinary share | Diluted net income per ordinary share | 6.15 | 8.48 | 14.34 | Diluted net income per ordinary share | 8.48 | 14.34 | 14.13 |
Year ended December 31 (€, in millions) | 2020 | 2021 | ||||||||||||
Impact on net income | Impact on equity | Impact on net income | Impact on equity | |||||||||||
US dollar | (4.3) | 34.4 | (6.9) | 51.5 | ||||||||||
Japanese yen | (13.4) | — | (2.2) | (32.9) | ||||||||||
Taiwanese dollar | 1.3 | — | (3.7) | — | ||||||||||
Other currencies | (3.9) | — | 6.2 | — | ||||||||||
Total | (20.3) | 34.4 | (6.6) | 18.6 |
Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||||
Impact on net income | Impact on equity | Impact on net income | Impact on equity | ||||||||||||||
US dollar | (6.9) | 51.5 | (7.2) | 65.3 | |||||||||||||
Japanese yen | (2.2) | (32.9) | (0.1) | (16.6) | |||||||||||||
Taiwanese dollar | (3.7) | — | (12.8) | — | |||||||||||||
Other currencies | 6.2 | — | (1.3) | — | |||||||||||||
Total | (6.6) | 18.6 | (21.4) | 48.7 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 256 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||||||||||||||
Impact on net income | Impact on equity | Impact on net income | Impact on equity | Impact on net income | Impact on equity | Impact on net income | Impact on equity | ||||||||||||||||||||||||
Effect of a 1.0% increase in interest rates | Effect of a 1.0% increase in interest rates | 43.5 | — | 45.9 | — | Effect of a 1.0% increase in interest rates | 45.9 | — | 43.8 | — |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 257 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 258 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||||||||||||||
Notional amount | Fair Value | Notional amount | Fair Value | Notional amount | Fair Value | Notional amount | Fair Value | ||||||||||||||||||||||||
Forward foreign exchange contracts | Forward foreign exchange contracts | 182.0 | (17.6) | 27.5 | 12.8 | Forward foreign exchange contracts | 27.5 | 12.8 | 158.5 | (18.8) | |||||||||||||||||||||
Interest rate swaps | Interest rate swaps | 3,000.0 | 160.4 | 3,000.0 | 83.9 | Interest rate swaps | 3,000.0 | 83.9 | 3,000.0 | (225.1) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Year ended December 31 (€, in millions) | 2021 | 2022 | |||||||||||||||||||||||||
Assets | Liabilities | Assets | Liabilities | Assets | Liabilities | Assets | Liabilities | ||||||||||||||||||||||||
Interest rate swaps — fair value hedges | Interest rate swaps — fair value hedges | 160.4 | — | 83.9 | — | Interest rate swaps — fair value hedges | 83.9 | — | 1.7 | 226.8 | |||||||||||||||||||||
Forward foreign exchange contracts — cash flow hedges | Forward foreign exchange contracts — cash flow hedges | 0.9 | 15.1 | 15.0 | 2.2 | Forward foreign exchange contracts — cash flow hedges | 15.0 | 2.2 | 3.0 | 18.1 | |||||||||||||||||||||
Forward foreign exchange contracts — no hedge accounting | Forward foreign exchange contracts — no hedge accounting | 1.5 | 4.9 | 0.6 | 0.6 | Forward foreign exchange contracts — no hedge accounting | 0.6 | 0.6 | 12.6 | 16.3 | |||||||||||||||||||||
Total | Total | 162.8 | 20.0 | 99.5 | 2.8 | Total | 99.5 | 2.8 | 17.3 | 261.2 | |||||||||||||||||||||
Less non-current portion: | Less non-current portion: | Less non-current portion: | |||||||||||||||||||||||||||||
Interest rate swaps — fair value hedges | Interest rate swaps — fair value hedges | 123.8 | — | 47.3 | — | Interest rate swaps — fair value hedges | 47.3 | — | — | 179.0 | |||||||||||||||||||||
Total non-current portion | Total non-current portion | 123.8 | — | 47.3 | — | Total non-current portion | 47.3 | — | — | 179.0 | |||||||||||||||||||||
Total current portion | Total current portion | 39.0 | 20.0 | 52.2 | 2.8 | Total current portion | 52.2 | 2.8 | 17.3 | 82.2 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 259 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31, 2021 (€, in millions) | Level 1 | Level 2 | Level 3 | Total | ||||||||||
Assets measured at fair value | ||||||||||||||
Derivative financial instruments 1 | — | 99.5 | — | 99.5 | ||||||||||
Money market funds 2 | 2,928.3 | — | — | 2,928.3 | ||||||||||
Short-term investments 3 | — | 638.5 | — | 638.5 | ||||||||||
Total | 2,928.3 | 738.0 | — | 3,666.3 | ||||||||||
Liabilities measured at fair value | ||||||||||||||
Derivative financial instruments 1 | — | 2.8 | — | 2.8 | ||||||||||
Assets and Liabilities for which fair values are disclosed | ||||||||||||||
Long-term debt 4 | 4,673.9 | — | — | 4,673.9 |
Year ended December 31, 2022 (€, in millions) | Level 1 | Level 2 | Level 3 | Total | |||||||||||||
Assets measured at fair value | |||||||||||||||||
Derivative financial instruments1 | — | 17.3 | — | 17.3 | |||||||||||||
Money market funds2 | 3,196.7 | — | — | 3,196.7 | |||||||||||||
Short-term investments3 | — | 107.7 | — | 107.7 | |||||||||||||
Total | 3,196.7 | 125.0 | — | 3,321.7 | |||||||||||||
Liabilities measured at fair value | |||||||||||||||||
Derivative financial instruments1 | — | 261.2 | — | 261.2 | |||||||||||||
Assets and Liabilities for which fair values are disclosed | |||||||||||||||||
Loan receivable | — | — | 307.9 | 307.9 | |||||||||||||
Long-term debt4 | 4,072.8 | — | — | 4,072.8 |
Year ended December 31, 2020 (€, in millions) | Level 1 | Level 2 | Level 3 | Total | ||||||||||
Assets measured at fair value | ||||||||||||||
Derivative financial instruments 1 | — | 162.8 | — | 162.8 | ||||||||||
Money market funds 2 | 3,841.9 | — | — | 3,841.9 | ||||||||||
Short-term investments 3 | — | 1,302.2 | — | 1,302.2 | ||||||||||
Total | 3,841.9 | 1,465.0 | — | 5,306.9 | ||||||||||
Liabilities measured at fair value | ||||||||||||||
Derivative financial instruments 1 | — | 20.0 | — | 20.0 | ||||||||||
Assets and Liabilities for which fair values are disclosed | ||||||||||||||
Long-term debt 4 | 4,798.8 | — | — | 4,798.8 |
Year ended December 31, 2021 (€, in millions) | Level 1 | Level 2 | Level 3 | Total | |||||||||||||
Assets measured at fair value | |||||||||||||||||
Derivative financial instruments1 | — | 99.5 | — | 99.5 | |||||||||||||
Money market funds2 | 2,928.3 | — | — | 2,928.3 | |||||||||||||
Short-term investments3 | — | 638.5 | — | 638.5 | |||||||||||||
Total | 2,928.3 | 738.0 | — | 3,666.3 | |||||||||||||
Liabilities measured at fair value | |||||||||||||||||
Derivative financial instruments1 | — | 2.8 | — | 2.8 | |||||||||||||
Assets and Liabilities for which fair values are disclosed | |||||||||||||||||
Loan receivable | — | — | 124.4 | 124.4 | |||||||||||||
Long-term debt4 | 4,673.9 | — | — | 4,673.9 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 260 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 261 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2020 | 2021 | Maximum exposure to loss | Year ended December 31 (€, in millions) | 2021 | 2022 | Maximum exposure to loss | |||||||||||||||||
Advance payments included in Other assets | Advance payments included in Other assets | 933.8 | 982.8 | 982.8 | Advance payments included in Other assets | 982.8 | 1,100.3 | 1,100.3 | |||||||||||||||||
Advance payments included in Property, plant & equipment | Advance payments included in Property, plant & equipment | 52.8 | 82.1 | 82.1 | Advance payments included in Property, plant & equipment | 82.1 | 70.0 | 70.0 | |||||||||||||||||
Loan receivable | Loan receivable | — | 124.4 | 124.4 | Loan receivable | 124.4 | 364.4 | 364.4 | |||||||||||||||||
Right-of-use assets - Finance | 149.9 | — | — | ||||||||||||||||||||||
Investment agreement for 24.9% equity | Investment agreement for 24.9% equity | 820.7 | 892.5 | 892.5 | Investment agreement for 24.9% equity | 892.5 | 923.6 | 923.6 | |||||||||||||||||
Accounts payable | Accounts payable | 110.9 | 482.7 | — | Accounts payable | 482.7 | 269.2 | — | |||||||||||||||||
Accrued and other liabilities | — | — | — | ||||||||||||||||||||||
Cost to be paid included in Accrued and other liabilities | Cost to be paid included in Accrued and other liabilities | — | 111.2 | — |
Year ended December 31 (€, in millions) | Year ended December 31 (€, in millions) | 2019 | 2020 | 2021 | Year ended December 31 (€, in millions) | 2020 | 2021 | 2022 | |||||||||||||||||
Total purchases | Total purchases | 1,502.3 | 1,623.9 | 2,070.3 | Total purchases | 1,623.9 | 2,070.3 | 2,693.6 |
ASML ANNUAL REPORT 2022 | NOTES TO THE CONSOLIDATED FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 262 | |||||||||||||||
Notes to the Consolidated Financial Statements (continued) |
ASML ANNUAL REPORT 2022 | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 263 |
Non-financial statements | ||||||||||||||
IN THIS SECTION | ||||||||||||||
Assurance Report of the Independent Auditor | ||||||||||||||
About the Non-financial information | ||||||||||||||
Non-financial indicators |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 264 | |||||||||||||||
Assurance Report of the Independent Auditor |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 265 | |||||||||||||||
Assurance Report of the Independent Auditor (continued) |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 266 | |||||||||||||||
About the non-financial information |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 267 | |||||||||||||||
About the non-financial information (continued) |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 268 | |||||||||||||||
About the non-financial information (continued) |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 269 | |||||||||||||||
About the non-financial information (continued) |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 270 | |||||||||||||||
About the non-financial information (continued) |
(Sub)chapter Annual Report | Scope | ||||||||||
How we innovate | ASML worldwide | ||||||||||
Customer intimacy | ASML worldwide, excluding Cymer and Berliner Glas (ASML Berlin GmbH) NOTE: Techinsights ASML only | ||||||||||
Financial performance | |||||||||||
Financial performance indicators | ASML worldwide | ||||||||||
ASML locations above 250 FTE, excluding | |||||||||||
ASML | |||||||||||
Energy management and carbon footprint: Product use at our customers | ASML Products that reached a certain stage of maturity and have been measured | ||||||||||
Circular economy | |||||||||||
Reduce waste in our operations | ASML locations above 250 FTE, excluding | ||||||||||
Re-use parts and materials | ASML worldwide material flows NOTE: Re-use rate and Savings from | ||||||||||
Refurbish mature products | ASML products, excluding | ||||||||||
Water management | ASML locations above 250 FTE, excluding | ||||||||||
Inspiring a unified culture | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Best employee experience | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) NOTE: The scope for indicator Open positions filled by internal candidates (in %) includes only open positions for which a formal vacancy has been created | ||||||||||
Enabling strong leadership | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL STATEMENTS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 271 | |||||||||||||||
About the non-financial information (continued) |
Ensuring employee safety | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Valued partner in our communities | |||||||||||
Community engagement program | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) NOTE: Volunteering hours Technology promotion and Campus promotion ASML Netherlands only Volunteering hours for Community engagement: excludes HMI | ||||||||||
ASML Foundation | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Innovation ecosystem | |||||||||||
Public-private partnerships | ASML worldwide | ||||||||||
Partnerships with academia and research institutes | ASML worldwide | ||||||||||
Supporting startups and scaleups | ASML Netherlands | ||||||||||
Our supply chain | |||||||||||
Supply Chain | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Supplier performance management | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Supply chain risk management | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Responsible Supply Chain | ASML worldwide, excluding Cymer, HMI and Berliner Glas (ASML Berlin GmbH) | ||||||||||
Responsible business | |||||||||||
Business ethics and Code of Conduct | ASML worldwide, excluding Berliner Glas (ASML Berlin GmbH) | ||||||||||
Product safety | ASML worldwide, excluding HMI | ||||||||||
Rest | ASML worldwide | ||||||||||
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 272 | |||||||||||||||
Non-financial indicators |
Customer intimacy | Customer intimacy | Customer intimacy | ||||||||||||||||||||||||||||||||
Description | Description | 2019 | 2020 | 2021 | Comments | Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||||||||||
Overall Loyalty Score (Customer Feedback Survey) | Overall Loyalty Score (Customer Feedback Survey) | n/a | 72.6 | % | n/a | The survey takes place every 24 months (last survey held in September 2020) | Overall Loyalty Score (Customer Feedback Survey) | 72.6 | % | n/a | 78.3 | % | The survey takes place every 24 months (the last survey was held in September 2022). As of 2022, the score shows consolidated and weighted results for ASML, Brion and HMI surveys. | |||||||||||||||||||||
VLSI Survey results | ||||||||||||||||||||||||||||||||||
TechInsights | TechInsights | |||||||||||||||||||||||||||||||||
Large suppliers of chipmaking equipment - score (scale 0 to 10) | Large suppliers of chipmaking equipment - score (scale 0 to 10) | 9.2 | 9.3 | 9.2 | Large suppliers of chipmaking equipment - score (scale 0 to 10) | 9.3 | 9.2 | 9.4 | ||||||||||||||||||||||||||
Suppliers of Fab equipment - score (scale 0 to 10) | Suppliers of Fab equipment - score (scale 0 to 10) | 9.2 | 9.3 | 9.2 | Suppliers of Fab equipment - score (scale 0 to 10) | 9.3 | 9.2 | 9.4 | ||||||||||||||||||||||||||
Technical leadership for lithography equipment - score (scale 0 to 10) | Technical leadership for lithography equipment - score (scale 0 to 10) | 9.6 | 9.7 | 9.5 | Technical leadership for lithography equipment - score (scale 0 to 10) | 9.7 | 9.5 | 9.8 |
Climate and energy - Energy | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Energy consumption (in TJ) | 1,367 | 1,412 | 1,689 | |||||||||||
Energy savings worldwide through projects (in TJ) | 80 | 114 | 13 | In 2021 we started a new master-plan period for 2021-2025 with a target to achieve 100 TJ energy savings by the end of 2025. The savings are realized by projects resulting in improved technical installation or by projects resulting in an improved production process. Types of energy included in savings: fuel and electricity. The figures from 2019 and 2020 are related to the master-plan 2016-2020. The savings reported are cumulated compared to the base year, therefore they are not comparable. | ||||||||||
Electricity purchased per location (in TJ) | ||||||||||||||
Veldhoven | 751 | 802 | 881 | |||||||||||
Wilton | 102 | 114 | 120 | |||||||||||
Linkou | 36 | 35 | 34 | |||||||||||
San Diego | 162 | 167 | 176 | |||||||||||
San Jose | — | — | 28 | In scope for this indicator since 2021. | ||||||||||
Tainan | — | — | 36 | In scope for this indicator since 2021. | ||||||||||
Other | — | — | 47 | In scope for this indicator since 2021.Other includes the locations with more than 250 FTE combined. | ||||||||||
Total | 1,051 | 1,118 | 1,322 |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 273 | |||||||||||||||
Non-financial indicators (continued) |
Climate and energy - Energy | ||||||||||||||||||||||||||||||||||
Energy efficiency and climate action – Energy | Energy efficiency and climate action – Energy | |||||||||||||||||||||||||||||||||
Description | Description | 2019 | 2020 | 2021 | Comments | Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||||||||||
Energy consumption (in TJ) | Energy consumption (in TJ) | 1,412 | 1,689 | 1,633 | ||||||||||||||||||||||||||||||
Energy savings worldwide through projects (in TJ) | Energy savings worldwide through projects (in TJ) | 114 | 13 | 19 | In 2021, we started a new masterplan period for 2021-2025 with a target to achieve 100 TJ energy savings by the end of 2025. The savings are realized by projects resulting in improved technical installation or by projects resulting in an improved production process. Types of energy included in savings: fuel and electricity. The figure from 2020 is related to the masterplan 2016-2020. The savings reported are cumulated compared with the base year; therefore, they are not comparable. | |||||||||||||||||||||||||||||
Energy intensity (per €m revenue) | Energy intensity (per €m revenue) | n/a | 0.08 | The denominator is revenue and the numerator represents total energy consumption within the organization made up of total electricity consumption (in TJ) and Fossil fuels (natural gas (consumed) (in TJ). | ||||||||||||||||||||||||||||||
Energy consumption outside of the organization (in TJ) | Energy consumption outside of the organization (in TJ) | n/a | 93,962 | |||||||||||||||||||||||||||||||
Electricity purchased per location (in TJ) | Electricity purchased per location (in TJ) | |||||||||||||||||||||||||||||||||
Veldhoven | Veldhoven | 802 | 881 | 837 | ||||||||||||||||||||||||||||||
Wilton | Wilton | 114 | 120 | 130 | ||||||||||||||||||||||||||||||
Linkou | Linkou | 35 | 34 | 34 | ||||||||||||||||||||||||||||||
San Diego | San Diego | 167 | 176 | 188 | ||||||||||||||||||||||||||||||
San Jose | San Jose | — | 28 | 25 | In scope for this indicator since 2021. | |||||||||||||||||||||||||||||
Tainan | Tainan | — | 36 | 43 | In scope for this indicator since 2021. | |||||||||||||||||||||||||||||
Other | Other | — | 47 | 50 | In scope for this indicator since 2021. Other includes the locations with more than 250 FTE combined. | |||||||||||||||||||||||||||||
Total | Total | 1,118 | 1,322 | 1,307 | ||||||||||||||||||||||||||||||
Fossil fuels consumed from non-renewable sources (in TJ) 1 | Fossil fuels consumed from non-renewable sources (in TJ) 1 | Fossil fuels consumed consists of only natural gas. | Fossil fuels consumed from non-renewable sources (in TJ)1 | Fossil fuels consumed consists of only natural gas. | ||||||||||||||||||||||||||||||
Veldhoven | Veldhoven | 159 | 141 | 184 | Veldhoven | 141 | 184 | 149 | ||||||||||||||||||||||||||
Wilton | Wilton | 111 | 112 | 127 | Wilton | 112 | 127 | 121 | ||||||||||||||||||||||||||
Linkou | Linkou | — | — | — | No natural gas is used by this manufacturing location. | Linkou | — | — | — | No natural gas is used by this manufacturing location. | ||||||||||||||||||||||||
San Diego | San Diego | 46 | 40 | 43 | San Diego | 40 | 43 | 43 | ||||||||||||||||||||||||||
San Jose | San Jose | — | 5 | In scope for this indicator since 2021. | San Jose | — | 5 | 6 | In scope for this indicator since 2021. | |||||||||||||||||||||||||
Tainan | Tainan | — | — | In scope for this indicator since 2021. No natural gas is used by this manufacturing location. | Tainan | — | — | In scope for this indicator since 2021. No natural gas is used by this manufacturing location. | ||||||||||||||||||||||||||
Other | Other | — | 8 | In scope for this indicator since 2021.Other includes the locations with more than 250 FTE combined. | Other | — | 8 | 7 | In scope for this indicator since 2021. Other includes the locations with more than 250 FTE combined. | |||||||||||||||||||||||||
Total | Total | 316 | 293 | 367 | Total | 293 | 367 | 326 | ||||||||||||||||||||||||||
Fuels consumed from renewable sources (in TJ) | Fuels consumed from renewable sources (in TJ) | — | — | — | Fuels consumed from renewable sources (in TJ) | — | — | — |
Climate and energy - CO2 emissions | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Emission intensity (scope 1+2+3) | 0.01 | 0.61 | 0.47 | In 2020 the definition for emission intensity has changed and is calculated as scope 1,2 and 3 emissions (in kt) divided by total revenue (in millions). The recalculated number for 2019 amounts to 0.56. In 2019 the emission intensity was calculated as net scope 1 and scope 2 emissions (in kt) divided by total revenue (in millions). Per 2020, scope 3 is included in the calculation. | ||||||||||
Type of Energy Attribute Certificates (in TJ) | ||||||||||||||
Guarantee of Origins (GOs) | 751 | 802 | 883 | |||||||||||
Renewable Energy Certificates (RECs) | 264 | 281 | 331 | |||||||||||
I-RECs | — | 35 | — | |||||||||||
Total | 1,015 | 1,118 | 1,214 | |||||||||||
Type of Energy Attribute Certificates (in kton) | ||||||||||||||
Guarantee of Origins (GOs) | 116 | 110 | 121 | |||||||||||
Renewable Energy Certificates (RECs) | 21 | 21 | 24 | |||||||||||
I-RECs | — | 9 | — | |||||||||||
Total | 137 | 140 | 145 | |||||||||||
Number of significant fines and non-monetary sanctions | — | 1 | — | In 2020, there was one fine for HMI Beijing due to fact that they had no environmental permit. | ||||||||||
The monetary value of significant fines for non-compliance with environmental laws and regulations (in thousand €) | — | 70 | — |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 274 | |||||||||||||||
Non-financial indicators (continued) |
Energy efficiency and climate action – CO2e emissions | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Emission intensity net scope 1+2+3 (in kton/€m revenue) | 0.63 | 0.62 | 0.56 | Comparison figures have been recalculated to eliminate the one-year lag in scope 3 emission data. In 2022, we made efforts to collect the emissions data in a more timely manner so we are able to report for the 2022 year, nine months of actual data and three months of estimate. Gases included is only CO2, as the other gases are negligible. | ||||||||||||||||
Net emission footprint change in % (Scope 1+2) - Market-based | (31) | % | 156 | % | (3) | % | ||||||||||||||
Scope 2 CO2e emissions (in kton) Location-based | n/a | n/a | 193 | |||||||||||||||||
Purchased CO2 (in kton) | 0.9 | 0.9 | 0.7 | |||||||||||||||||
Type of Energy Attribute Certificates (in TJ) | ||||||||||||||||||||
Guarantee of Origins (GOs) | 802 | 883 | 840 | |||||||||||||||||
Renewable Energy Certificates (RECs) | 281 | 331 | 351 | |||||||||||||||||
I-RECs | 35 | — | 3 | |||||||||||||||||
Total | 1,118 | 1,214 | 1,194 | |||||||||||||||||
Reduction in greenhouse gas emissions (GHG) split by (in kton): | ||||||||||||||||||||
Scope 1 | n/a | n/a | 0.16 | |||||||||||||||||
Scope 2 | n/a | n/a | 2.41 | |||||||||||||||||
Total | n/a | n/a | 2.57 | |||||||||||||||||
Significant air emissions – VOC | n/a | n/a | 13,289 | |||||||||||||||||
Number of significant fines and non-monetary sanctions | 1 | — | — | In 2020, there was one fine for HMI Beijing due to the fact that they had no environmental permit. | ||||||||||||||||
The monetary value of significant fines for non-compliance with environmental laws and regulations (in € thousands) | 70 | — | — |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 275 | |||||||||||||||
Non-financial indicators (continued) |
Circular economy - Waste management | ||||||||||||||||||||||||||||||||||
Circular economy – Waste management | Circular economy – Waste management | |||||||||||||||||||||||||||||||||
Description | Description | 2019 | 2020 | 2021 | Comments | Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||||||||||
Total waste generated (in 1,000 kg)1 | ||||||||||||||||||||||||||||||||||
Total waste generated (in 1,000 kg)1 & 2 | Total waste generated (in 1,000 kg)1 & 2 | |||||||||||||||||||||||||||||||||
Total non-hazardous waste | Total non-hazardous waste | 4,565 | 4,654 | 5,284 | Total non-hazardous waste | 4,654 | 5,284 | 6,295 | ||||||||||||||||||||||||||
Total hazardous waste | Total hazardous waste | 362 | 372 | 395 | Total hazardous waste | 372 | 395 | 380 | ||||||||||||||||||||||||||
Total construction waste | Total construction waste | 608 | 231 | 199 | Total construction waste | 231 | 199 | 238 | ||||||||||||||||||||||||||
Total | Total | 5,535 | 5,257 | 5,878 | Total waste is treated offsite, no waste treatment onsite. | Total | 5,257 | 5,878 | 6,913 | Total waste is treated offsite, no waste treatment onsite. | ||||||||||||||||||||||||
Total waste by disposal (in 1,000 kg)1 | Total waste by disposal (in 1,000 kg)1 | Total waste by disposal (in 1,000 kg)1 | ||||||||||||||||||||||||||||||||
Waste diverted from disposal | Waste diverted from disposal | 4,532 | 4,466 | 4,544 | Waste diverted from disposal | 4,466 | 4,544 | 5,186 | ||||||||||||||||||||||||||
Waste directed to disposal | Waste directed to disposal | 1,003 | 791 | 1,334 | Waste directed to disposal | 791 | 1,334 | 1,727 | ||||||||||||||||||||||||||
Total | Total | 5,535 | 5,257 | 5,878 | Total | 5,257 | 5,878 | 6,913 | ||||||||||||||||||||||||||
Waste diverted from disposal: Recycling1 | We apply recycling of waste. Other categories like preparation for re-use and composting are not applicable to us. | |||||||||||||||||||||||||||||||||
Waste diverted from disposal: Recycling (in 1,000 kg)1 | Waste diverted from disposal: Recycling (in 1,000 kg)1 | We apply recycling of waste. Other categories like preparation for re-use and composting are not applicable to ASML. | ||||||||||||||||||||||||||||||||
Total non-hazardous waste | Total non-hazardous waste | 3,618 | 3,911 | 4,028 | Total non-hazardous waste | 3,911 | 4,028 | 4,719 | ||||||||||||||||||||||||||
Total hazardous waste | Total hazardous waste | 336 | 349 | 346 | Total hazardous waste | 349 | 346 | 309 | ||||||||||||||||||||||||||
Total construction waste | Total construction waste | 578 | 206 | 170 | Total construction waste | 206 | 170 | 158 | ||||||||||||||||||||||||||
Total | Total | 4,532 | 4,466 | 4,544 | Total | 4,466 | 4,544 | 5,186 | ||||||||||||||||||||||||||
Waste directed to disposal: Incineration (with energy recovery)1 | ||||||||||||||||||||||||||||||||||
Waste directed to disposal: Incineration (with energy recovery) (in 1,000 kg)1 | Waste directed to disposal: Incineration (with energy recovery) (in 1,000 kg)1 | |||||||||||||||||||||||||||||||||
Total non-hazardous waste | Total non-hazardous waste | 567 | 411 | 938 | Increase due to change in waste treatment by supplier. We engaged with the supplier to recycle related waste. | Total non-hazardous waste | 411 | 938 | 1,246 | 2021 and 2022 saw an increase due to change in waste treatment by supplier. We have engaged with vendors and suppliers to improve the recycling rate in the future. | ||||||||||||||||||||||||
Total hazardous waste | Total hazardous waste | 9 | 16 | Total hazardous waste | 9 | 16 | 37 | |||||||||||||||||||||||||||
Total construction waste | Total construction waste | 20 | 17 | Total construction waste | 20 | 17 | 74 | |||||||||||||||||||||||||||
Total | Total | 596 | 440 | 971 | Total | 440 | 971 | 1,357 | ||||||||||||||||||||||||||
Waste directed to disposal: Incineration (without energy recovery)1 | ||||||||||||||||||||||||||||||||||
Waste directed to disposal: Incineration (without energy recovery) (in 1,000 kg)1 | Waste directed to disposal: Incineration (without energy recovery) (in 1,000 kg)1 | |||||||||||||||||||||||||||||||||
Total non-hazardous waste | Total non-hazardous waste | 37 | 3 | 51 | Total non-hazardous waste | 3 | 51 | 66 | ||||||||||||||||||||||||||
Total hazardous waste | Total hazardous waste | 15 | 13 | 27 | Total hazardous waste | 13 | 27 | 24 | ||||||||||||||||||||||||||
Total construction waste | Total construction waste | 0 | 0 | Total construction waste | 0 | 0 | ||||||||||||||||||||||||||||
Total | Total | 52 | 16 | 78 | Total | 16 | 78 | 90 | ||||||||||||||||||||||||||
Waste directed to disposal: Landfill1 | ||||||||||||||||||||||||||||||||||
Total non-hazardous waste | 343 | 329 | 267 | |||||||||||||||||||||||||||||||
Total hazardous waste | 2 | 1 | 6 | |||||||||||||||||||||||||||||||
Total construction waste | 10 | 5 | 12 | |||||||||||||||||||||||||||||||
Total | 355 | 335 | 285 | |||||||||||||||||||||||||||||||
Total waste disposed (% of total waste from operations)1 | ||||||||||||||||||||||||||||||||||
Incineration (with energy recovery) | 12 | % | 8 | % | 17 | % | ||||||||||||||||||||||||||||
Incineration (without energy recovery) | 1 | % | — | % | 1 | % | ||||||||||||||||||||||||||||
Landfill | 7 | % | 7 | % | 5 | % | ||||||||||||||||||||||||||||
Total | 20 | % | 15 | % | 23 | % | ||||||||||||||||||||||||||||
Used lithography systems sold | 26 | 22 | 23 | Lifetime extension of mature systems. |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 276 | |||||||||||||||
Non-financial indicators (continued) |
Circular economy – Waste management | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Waste directed to disposal: Landfill (in 1,000 kg)1 | ||||||||||||||||||||
Total non-hazardous waste | 329 | 267 | 264 | |||||||||||||||||
Total hazardous waste | 1 | 6 | 10 | |||||||||||||||||
Total construction waste | 5 | 12 | 6 | |||||||||||||||||
Total | 335 | 285 | 280 | |||||||||||||||||
Total waste disposed (% of total waste from operations)1 | ||||||||||||||||||||
Incineration (with energy recovery) | 8 | % | 17 | % | 19 | % | ||||||||||||||
Incineration (without energy recovery) | — | % | 1 | % | 2 | % | ||||||||||||||
Landfill | 7 | % | 5 | % | 4 | % | ||||||||||||||
Total | 15 | % | 23 | % | 25 | % |
Our people - Workforce indicators | ||||||||||||||||||||||||||||||||||||||
Number of FTEs (payroll and temporary) | Total ASML | Asia | Europe | US | ||||||||||||||||||||||||||||||||||
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | |||||||||||||||||||||||||||
Payroll employees (in FTE) | 23,219 | 25,082 | 28,747 | 5,664 | 6,027 | 7,404 | 12,393 | 13,627 | 15,444 | 5,162 | 5,428 | 5,899 | ||||||||||||||||||||||||||
Female (in %) | 16 | 17 | 18 | 16 | 17 | 17 | 16 | 17 | 18 | 17 | 17 | 17 | ||||||||||||||||||||||||||
Male (in %) | 84 | 83 | 82 | 84 | 83 | 83 | 84 | 83 | 82 | 83 | 83 | 83 | ||||||||||||||||||||||||||
Temporary employees (in FTE) | 1,681 | 1,399 | 2,095 | 68 | 30 | 26 | 1,339 | 1,087 | 1,786 | 274 | 282 | 283 | ||||||||||||||||||||||||||
Female (in %) | 17 | 16 | 18 | 34 | 28 | 19 | 17 | 19 | 20 | 11 | 7 | 8 | ||||||||||||||||||||||||||
Male (in %) | 83 | 84 | 82 | 66 | 72 | 81 | 83 | 81 | 80 | 89 | 93 | 92 | ||||||||||||||||||||||||||
Total | 24,900 | 26,481 | 30,842 | 5,732 | 6,057 | 7,430 | 13,732 | 14,714 | 17,230 | 5,436 | 5,710 | 6,182 | ||||||||||||||||||||||||||
Number of FTEs (by age group) | ||||||||||||||||||||||||||||||||||||||
< 30 | 4,894 | 4,798 | 6,344 | 1,628 | 1,518 | 2,191 | 2,378 | 2,381 | 3,041 | 888 | 899 | 1,112 | ||||||||||||||||||||||||||
30 - 50 | 15,606 | 16,848 | 19,058 | 3,902 | 4,300 | 4,933 | 8,924 | 9,615 | 11,007 | 2,780 | 2,933 | 3,118 | ||||||||||||||||||||||||||
> 50 | 4,130 | 4,556 | 5,158 | 201 | 238 | 305 | 2,430 | 2,718 | 3,182 | 1,499 | 1,600 | 1,671 | ||||||||||||||||||||||||||
Unknown 1 | 270 | 279 | 282 | 1 | 1 | 1 | — | — | — | 269 | 278 | 281 | ||||||||||||||||||||||||||
Total | 24,900 | 26,481 | 30,842 | 5,732 | 6,057 | 7,430 | 13,732 | 14,714 | 17,230 | 5,436 | 5,710 | 6,182 |
Our people - Workforce indicators | ||||||||||||||||||||||||||||||||||||||
Number of payroll FTEs (split in full-time and part-time) | Total ASML | Asia | Europe | US | ||||||||||||||||||||||||||||||||||
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | |||||||||||||||||||||||||||
Full-time payroll FTEs (by age group) | ||||||||||||||||||||||||||||||||||||||
< 30 | 4,397 | 4,351 | 5,664 | 1,612 | 1,512 | 2,185 | 1,898 | 1,941 | 2,367 | 887 | 898 | 1,112 | ||||||||||||||||||||||||||
30 - 50 | 13,567 | 14,938 | 16,682 | 3,856 | 4,280 | 4,917 | 6,937 | 7,730 | 8,651 | 2,774 | 2,928 | 3,114 | ||||||||||||||||||||||||||
> 50 | 3,674 | 4,028 | 4,501 | 193 | 232 | 299 | 1,988 | 2,207 | 2,542 | 1,493 | 1,589 | 1,660 | ||||||||||||||||||||||||||
Total | 21,638 | 23,317 | 26,847 | 5,661 | 6,024 | 7,401 | 10,823 | 11,878 | 13,560 | 5,154 | 5,415 | 5,886 | ||||||||||||||||||||||||||
Full-time payroll FTEs (by gender) | ||||||||||||||||||||||||||||||||||||||
Female (in %) | 15 | 15 | 16 | 16 | 17 | 17 | 14 | 14 | 15 | 17 | 17 | 17 | ||||||||||||||||||||||||||
Male (in %) | 85 | 85 | 84 | 84 | 83 | 83 | 86 | 86 | 85 | 83 | 83 | 83 | ||||||||||||||||||||||||||
Part-time payroll FTEs (by age group) | ||||||||||||||||||||||||||||||||||||||
< 30 | 41 | 39 | 46 | — | — | — | 41 | 39 | 46 | — | — | — | ||||||||||||||||||||||||||
30 - 50 | 1,264 | 1,337 | 1,420 | 1 | 1 | 2 | 1,259 | 1,332 | 1,415 | 4 | 4 | 3 | ||||||||||||||||||||||||||
> 50 | 276 | 389 | 434 | 2 | 2 | 1 | 270 | 378 | 423 | 4 | 9 | 10 | ||||||||||||||||||||||||||
Total | 1,581 | 1,765 | 1,900 | 3 | 3 | 3 | 1,570 | 1,749 | 1,884 | 8 | 13 | 13 | ||||||||||||||||||||||||||
Part-time payroll FTEs (by gender) | ||||||||||||||||||||||||||||||||||||||
Female (in %) | 37 | 37 | 37 | 17 | — | — | 37 | 37 | 37 | 62 | 46 | 27 | ||||||||||||||||||||||||||
Male (in %) | 63 | 63 | 63 | 83 | 100 | 100 | 63 | 63 | 63 | 38 | 54 | 73 |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 277 | |||||||||||||||
Non-financial indicators (continued) |
Circular economy – Water management | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Water consumption (in 1000 m3), split by: | ||||||||||||||||||||
Veldhoven | 658 | 728 | 834 | |||||||||||||||||
San Diego | 80 | 105 | 115 | |||||||||||||||||
Wilton | 94 | 95 | 90 | |||||||||||||||||
Linkou | 28 | 26 | 22 | |||||||||||||||||
San Jose | — | 21 | 32 | In scope for this indicator since 2021. | ||||||||||||||||
Tainan | — | 30 | 33 | In scope for this indicator since 2021. | ||||||||||||||||
Other | — | 36 | 36 | In scope for this indicator since 2021. Other includes the locations with more than 250 FTE combined. | ||||||||||||||||
Total | 860 | 1,041 | 1,162 | Municipal water supply. | ||||||||||||||||
Total ultrapure water consumption (in 1000 m3) | 127 | 84 | 86 | Only Veldhoven, Linkou and HMI Tainan are in scope for this indicator. The other locations are excluded from the scope because the data to report on the indicator is not yet available. | ||||||||||||||||
Total water recycled and re-used (in %) | 1.8 | % | 1.2 | % | 1.6 | % | Only Veldhoven, Linkou and HMI Tainan are in scope for this indicator. The other locations are excluded from the scope because the data to report on the indicator is not yet available. | |||||||||||||
Water intensity (in 1000m3/€m revenue) | 62 | 56 | 55 | Water intensity is calculated as total water consumption (in m3) divided by total revenue (in millions). |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 278 | |||||||||||||||
Non-financial indicators (continued) |
Our people - Workforce indicators | ||||||||||||||||||||||||||||||||||||||
Number of new hires payroll employees (in FTEs) | Total ASML | Asia | Europe | US | ||||||||||||||||||||||||||||||||||
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | |||||||||||||||||||||||||||
Number of new hires | 2,219 | 1,932 | 4,373 | 558 | 598 | 1,848 | 1,102 | 879 | 1,737 | 559 | 455 | 788 | ||||||||||||||||||||||||||
New hires as a % of the total payroll employees | 10 | 8 | 15 | 10 | 10 | 25 | 9 | 6 | 11 | 11 | 8 | 13 | ||||||||||||||||||||||||||
Gender | ||||||||||||||||||||||||||||||||||||||
Female | 542 | 454 | 896 | 123 | 123 | 313 | 280 | 216 | 432 | 139 | 115 | 151 | ||||||||||||||||||||||||||
Male | 1,677 | 1,478 | 3,477 | 435 | 475 | 1,535 | 822 | 663 | 1,305 | 420 | 340 | 637 | ||||||||||||||||||||||||||
Total | 2,219 | 1,932 | 4,373 | 558 | 598 | 1,848 | 1,102 | 879 | 1,737 | 559 | 455 | 788 | ||||||||||||||||||||||||||
Age group | ||||||||||||||||||||||||||||||||||||||
< 30 | 923 | 854 | 2,392 | 318 | 338 | 1,213 | 380 | 329 | 783 | 225 | 187 | 396 | ||||||||||||||||||||||||||
30 - 50 | 1,136 | 947 | 1,789 | 233 | 253 | 627 | 643 | 491 | 848 | 260 | 203 | 314 | ||||||||||||||||||||||||||
> 50 | 160 | 131 | 190 | 7 | 7 | 6 | 79 | 59 | 106 | 74 | 65 | 78 | ||||||||||||||||||||||||||
Unknown | 2 | 2 | ||||||||||||||||||||||||||||||||||||
Total | 2,219 | 1,932 | 4,373 | 558 | 598 | 1,848 | 1,102 | 879 | 1,737 | 559 | 455 | 788 |
Our people - Workforce indicators | ||||||||||||||||||||||||||||||||||||||
Employee attrition (in FTE) | Total ASML | Asia | Europe | US | ||||||||||||||||||||||||||||||||||
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | |||||||||||||||||||||||||||
Number of involuntary employee attrition | 177 | 186 | 199 | 40 | 38 | 41 | 80 | 102 | 101 | 57 | 46 | 57 | ||||||||||||||||||||||||||
Number of voluntary employee attrition | 761 | 723 | 1,234 | 198 | 201 | 421 | 257 | 239 | 341 | 306 | 283 | 472 | ||||||||||||||||||||||||||
Total | 938 | 909 | 1,433 | 238 | 239 | 462 | 337 | 341 | 442 | 363 | 329 | 529 | ||||||||||||||||||||||||||
Gender | ||||||||||||||||||||||||||||||||||||||
Female | 196 | 189 | 258 | 55 | 56 | 78 | 72 | 69 | 89 | 69 | 64 | 91 | ||||||||||||||||||||||||||
Male | 742 | 720 | 1,175 | 183 | 183 | 384 | 265 | 272 | 353 | 294 | 265 | 438 | ||||||||||||||||||||||||||
Total | 938 | 909 | 1,433 | 238 | 239 | 462 | 337 | 341 | 442 | 363 | 329 | 529 | ||||||||||||||||||||||||||
Age group | ||||||||||||||||||||||||||||||||||||||
< 30 | 219 | 218 | 337 | 78 | 73 | 143 | 61 | 67 | 69 | 80 | 78 | 125 | ||||||||||||||||||||||||||
30 - 50 | 519 | 479 | 806 | 144 | 149 | 292 | 198 | 179 | 257 | 177 | 151 | 257 | ||||||||||||||||||||||||||
> 50 | 200 | 212 | 290 | 16 | 17 | 27 | 78 | 95 | 116 | 106 | 100 | 147 | ||||||||||||||||||||||||||
Total | 938 | 909 | 1,433 | 238 | 239 | 462 | 337 | 341 | 442 | 363 | 329 | 529 |
Our people - Employee engagement | ||||||||||||||
Engagement score We@ASML by gender | 2019 | 2020 | 2021 | Comments | ||||||||||
Female | 75 | % | 80 | % | 78 | % | ||||||||
Male | 77 | % | 80 | % | 78 | % |
Attractive workplace for all – Workforce indicators1 | ||||||||||||||||||||||||||||||||||||||||||||||||||
Number of FTEs (payroll and temporary) | Total ASML | Asia | EMEA | US | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
Payroll employees (in FTE) | 25,082 | 28,747 | 34,719 | 6,027 | 7,404 | 8,840 | 13,627 | 15,444 | 18,660 | 5,428 | 5,899 | 7,219 | ||||||||||||||||||||||||||||||||||||||
Female (in %) | 17 | 18 | 19 | 17 | 17 | 18 | 17 | 18 | 20 | 17 | 17 | 19 | ||||||||||||||||||||||||||||||||||||||
Male (in %) | 83 | 82 | 81 | 83 | 83 | 82 | 83 | 82 | 80 | 83 | 83 | 81 | ||||||||||||||||||||||||||||||||||||||
Unknown (in %) | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | ||||||||||||||||||||||||||||||||||||||
Temporary employees (in FTE) | 1,399 | 2,095 | 2,924 | 30 | 26 | 31 | 1,087 | 1,786 | 2,607 | 282 | 283 | 286 | ||||||||||||||||||||||||||||||||||||||
Female (in %) | 16 | 18 | 19 | 28 | 19 | 23 | 19 | 20 | 20 | 7 | 8 | 2 | ||||||||||||||||||||||||||||||||||||||
Male (in %) | 84 | 82 | 73 | 72 | 81 | 71 | 81 | 80 | 80 | 93 | 92 | 18 | ||||||||||||||||||||||||||||||||||||||
Unknown (in %) | n/a | n/a | 8 | n/a | n/a | 6 | n/a | n/a | — | n/a | n/a | 80 | ||||||||||||||||||||||||||||||||||||||
Total | 26,481 | 30,842 | 37,643 | 6,057 | 7,430 | 8,871 | 14,714 | 17,230 | 21,267 | 5,710 | 6,182 | 7,505 | ||||||||||||||||||||||||||||||||||||||
Total number of FTEs (by age group) | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 4,798 | 6,344 | 8,837 | 1,518 | 2,191 | 2,736 | 2,381 | 3,041 | 4,449 | 899 | 1,112 | 1,652 | ||||||||||||||||||||||||||||||||||||||
30-50 | 16,848 | 19,058 | 22,736 | 4,300 | 4,933 | 5,778 | 9,615 | 11,007 | 13,170 | 2,933 | 3,118 | 3,788 | ||||||||||||||||||||||||||||||||||||||
>50 | 4,556 | 5,158 | 5,792 | 238 | 305 | 355 | 2,718 | 3,182 | 3,647 | 1,600 | 1,671 | 1,790 | ||||||||||||||||||||||||||||||||||||||
Unknown | 279 | 282 | 278 | 1 | 1 | 2 | — | — | 1 | 278 | 281 | 275 | ||||||||||||||||||||||||||||||||||||||
Total | 26,481 | 30,842 | 37,643 | 6,057 | 7,430 | 8,871 | 14,714 | 17,230 | 21,267 | 5,710 | 6,182 | 7,505 | ||||||||||||||||||||||||||||||||||||||
Total number of FTEs (payroll and temporary) | ||||||||||||||||||||||||||||||||||||||||||||||||||
Female (in %) | 17 | 18 | 19 | n/a | n/a | 18 | n/a | n/a | 20 | n/a | n/a | 18 | ||||||||||||||||||||||||||||||||||||||
Male (in %) | 83 | 82 | 80 | n/a | n/a | 82 | n/a | n/a | 80 | n/a | n/a | 79 | ||||||||||||||||||||||||||||||||||||||
Unknown (in %) | n/a | n/a | 1 | n/a | n/a | — | n/a | n/a | — | n/a | n/a | 3 |
Attractive workplace for all – Workforce indicators1 | ||||||||||||||||||||||||||||||||||||||||||||||||||
Number of payroll FTEs (split into full-time and part-time) | Total ASML | Asia | EMEA | US | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
Full-time payroll FTEs | ||||||||||||||||||||||||||||||||||||||||||||||||||
Female (in %) | 15 | 16 | 18 | 17 | 17 | 18 | 14 | 15 | 17 | 17 | 17 | 19 | ||||||||||||||||||||||||||||||||||||||
Male (in %) | 85 | 84 | 82 | 83 | 83 | 82 | 86 | 85 | 83 | 83 | 83 | 81 | ||||||||||||||||||||||||||||||||||||||
Unknown (in %) | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | ||||||||||||||||||||||||||||||||||||||
Total | 23,317 | 26,847 | 32,635 | 6,024 | 7,401 | 8,835 | 11,878 | 13,560 | 16,594 | 5,415 | 5,886 | 7,206 |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 279 | |||||||||||||||
Non-financial indicators (continued) |
Number of payroll FTEs (split into full-time and part-time) | Total ASML | Asia | EMEA | US | ||||||||||||||||||||||||||||||||||||||||||||||
Part-time payroll FTEs | ||||||||||||||||||||||||||||||||||||||||||||||||||
Female (in %) | 37 | 37 | 38 | — | — | 28 | 37 | 37 | 38 | 46 | 27 | 30 | ||||||||||||||||||||||||||||||||||||||
Male (in %) | 63 | 63 | 62 | 100 | 100 | 72 | 63 | 63 | 62 | 54 | 73 | 70 | ||||||||||||||||||||||||||||||||||||||
Unknown (in %) | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | ||||||||||||||||||||||||||||||||||||||
Total | 1,765 | 1,900 | 2,084 | 3 | 3 | 5 | 1,749 | 1,884 | 2,066 | 13 | 13 | 13 |
Attractive workplace for all – Workforce indicators | ||||||||||||||||||||||||||||||||||||||||||||||||||
Number of new hires payroll employees (in FTEs) | Total ASML | Asia | EMEA | US | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
Number of new hires | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 | ||||||||||||||||||||||||||||||||||||||
New hires as a % of the total payroll employees | 8 | 15 | 21 | 10 | 25 | 23 | 6 | 11 | 18 | 8 | 13 | 25 | ||||||||||||||||||||||||||||||||||||||
Gender | ||||||||||||||||||||||||||||||||||||||||||||||||||
Female | 454 | 896 | 1,724 | 123 | 313 | 415 | 216 | 432 | 903 | 115 | 151 | 406 | ||||||||||||||||||||||||||||||||||||||
Male | 1,478 | 3,477 | 5,400 | 475 | 1,535 | 1,641 | 663 | 1,305 | 2,402 | 340 | 637 | 1,357 | ||||||||||||||||||||||||||||||||||||||
Unknown | n/a | n/a | 6 | n/a | n/a | 1 | n/a | n/a | 1 | n/a | n/a | 4 | ||||||||||||||||||||||||||||||||||||||
Total | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 | ||||||||||||||||||||||||||||||||||||||
Age group | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 854 | 2,392 | 3,581 | 338 | 1,213 | 1,321 | 329 | 783 | 1,457 | 187 | 396 | 803 | ||||||||||||||||||||||||||||||||||||||
30-50 | 947 | 1,789 | 3,241 | 253 | 627 | 730 | 491 | 848 | 1,708 | 203 | 314 | 803 | ||||||||||||||||||||||||||||||||||||||
>50 | 131 | 190 | 308 | 7 | 6 | 6 | 59 | 106 | 141 | 65 | 78 | 161 | ||||||||||||||||||||||||||||||||||||||
Unknown | — | 2 | — | — | 2 | — | — | — | — | — | — | — | ||||||||||||||||||||||||||||||||||||||
Total | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 280 | |||||||||||||||
Non-financial indicators (continued) |
Our people - Employee engagement | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Employee Attrition (in %) | 4.3 | 3.8 | 5.4 | |||||||||||
Attrition rate of high performers (in %) | 2.4 | 1.7 | 2.6 | A high performer is an employee with the merit classification 'exceptional' or 'exceeds expectations' from the annual employee performance evaluation. | ||||||||||
Promotion rate - Overall (in %) | 14 | 13 | 15 | |||||||||||
Promotion rate of high performers (in %) | 38 | 37 | 40 | |||||||||||
Absenteeism (in %) | ||||||||||||||
Asia 1 | 0.4 | 0.5 | 0.7 | In some Asian countries sick leave is regarded as annual leave, hence illness-related absenteeism is recorded as 0%. | ||||||||||
Europe | 2.6 | 2.3 | 2.4 | |||||||||||
US | 1.6 | 1.3 | 1.4 |
Attractive workplace for all – Workforce indicators | ||||||||||||||||||||||||||||||||||||||||||||||||||
Employee attrition (in FTE) | Total ASML | Asia | EMEA | US | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
Number of involuntary employee attrition | 186 | 199 | 226 | 38 | 41 | 34 | 102 | 101 | 119 | 46 | 57 | 73 | ||||||||||||||||||||||||||||||||||||||
Number of voluntary employee attrition | 723 | 1,234 | 1,678 | 201 | 421 | 530 | 239 | 341 | 503 | 283 | 472 | 645 | ||||||||||||||||||||||||||||||||||||||
Total | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 | ||||||||||||||||||||||||||||||||||||||
Gender | ||||||||||||||||||||||||||||||||||||||||||||||||||
Female | 189 | 258 | 372 | 56 | 78 | 107 | 69 | 89 | 129 | 64 | 91 | 136 | ||||||||||||||||||||||||||||||||||||||
Male | 720 | 1,175 | 1,532 | 183 | 384 | 457 | 272 | 353 | 493 | 265 | 438 | 582 | ||||||||||||||||||||||||||||||||||||||
Unknown | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | n/a | n/a | — | ||||||||||||||||||||||||||||||||||||||
Total | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 | ||||||||||||||||||||||||||||||||||||||
Age group | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 218 | 337 | 516 | 73 | 143 | 220 | 67 | 69 | 121 | 78 | 125 | 175 | ||||||||||||||||||||||||||||||||||||||
30-50 | 479 | 806 | 1,063 | 149 | 292 | 326 | 179 | 257 | 383 | 151 | 257 | 354 | ||||||||||||||||||||||||||||||||||||||
>50 | 212 | 290 | 325 | 17 | 27 | 18 | 95 | 116 | 118 | 100 | 147 | 189 | ||||||||||||||||||||||||||||||||||||||
Total | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 |
Attractive workplace for all – Workforce indicators | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Workers who are not employees (in FTE)1 | n/a | n/a | 1,682 |
Our people - Employee engagement | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Open positions filled by internal candidates (in %) | 36 | 30 | 29 | |||||||||||
Rotation ratio (in %) | 18 | 20 | 13 | |||||||||||
Human Capital Return On Investment (ROI) | 2.1 | 2.4 | 3.0 | Human Capital Return on Investment is calculated as total net sales minus total operating expenses excluding total employee salaries & benefits, divided by total employee salaries & benefits. | ||||||||||
People Performance Management process completion (in %) | 97 | 97 | 95 | |||||||||||
Development Action Plan completion (in %) | 76 | 77 | 74 | |||||||||||
Scholarships | ||||||||||||||
Number of scholarships Netherlands | 53 | 49 | 50 | |||||||||||
Number of scholarships US | — | — | 7 | |||||||||||
Number of scholarships Taiwan | — | 16 | 24 | |||||||||||
Number of scholarships China | — | 5 | 5 | |||||||||||
Number of scholarships South Korea | — | 3 | 5 |
Our people - Employee engagement | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Total training expenses (in million €) | 19 | 12 | 27 | Out-of-pocket expenses for technical and non-product related classroom trainings as recorded in MyLearning (learning management system). | ||||||||||
Average spend on training and development per FTE (€) | 836 | 494 | 1,020 | |||||||||||
Number of total training hours per FTE | Includes technical and non-product related training hours (including nomination courses). | |||||||||||||
Female | 41 | 26 | 25 | |||||||||||
Male | 46 | 29 | 30 | |||||||||||
Weighted average | 45 | 28 | 29 |
Attractive workplace for all – Employee engagement | ||||||||||||||||||||
Engagement score we@ASML by gender | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Female | 80 | % | 78 | % | 77 | % | ||||||||||||||
Male | 80 | % | 78 | % | 78 | % | ||||||||||||||
Benchmark | 73 | % | 76 | % | 74 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 281 | |||||||||||||||
Non-financial indicators (continued) |
Our people - Employee engagement | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Number of technical training hours per technical FTE | The number of technical training hours per FTE is calculated as the total technical training hours divided by the total payroll FTEs working in technical departments within Operations and R&D. | |||||||||||||
Female | 35 | 22 | 22 | |||||||||||
Male | 41 | 27 | 29 | |||||||||||
Weighted average | 40 | 26 | 28 | |||||||||||
Number of non-product related training hours per FTE | Excluding nomination courses (leadership development programs) | |||||||||||||
Female | 13 | 7 | 8 | |||||||||||
Male | 8 | 4 | 5 | |||||||||||
Weighted average | 9 | 5 | 5 | |||||||||||
Nomination courses: Leadership Development Programs | ||||||||||||||
Number of training hours | 33,715 | 22,896 | 6,264 | Due to COVID-19 only two ECAP programs started in 2021 | ||||||||||
Number of employees attending (unique) | 387 | 216 | 48 |
Attractive workplace for all – Employee engagement | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Employee Attrition (in %) | 3.8 | 5.4 | 6.0 | |||||||||||||||||
Open positions filled by internal candidates (in %) | 30 | 29 | 27 |
Attractive workplace for all – Employee engagement | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Total training expenses (in € millions) | 12 | 27 | 47 | Out-of-pocket expenses for technical and non-product-related classroom trainings as recorded in MyLearning (learning management system). | ||||||||||||||||
Average spend on training and development per FTE (€) | 494 | 1,020 | 1,491 | |||||||||||||||||
Total number of training hours per FTE | Includes technical and non-product-related training hours (including nomination courses). | |||||||||||||||||||
Female | 26 | 25 | 41 | |||||||||||||||||
Male | 29 | 30 | 52 | |||||||||||||||||
Unknown | n/a | n/a | 304 | |||||||||||||||||
Weighted average | 28 | 29 | 50 | |||||||||||||||||
Number of technical training hours per technical FTE | The number of technical training hours per FTE is calculated as the total technical training hours divided by the total payroll FTEs working in technical departments within Operations and R&D. | |||||||||||||||||||
Female | 22 | 22 | 41 | |||||||||||||||||
Male | 27 | 29 | 50 | |||||||||||||||||
Unknown | n/a | n/a | 347 | |||||||||||||||||
Weighted average | 26 | 28 | 49 | |||||||||||||||||
Number of non-product-related training hours per FTE | Excluding nomination courses (leadership development programs). | |||||||||||||||||||
Female | 7 | 8 | 11 | |||||||||||||||||
Male | 4 | 5 | 8 | |||||||||||||||||
Unknown | n/a | n/a | 27 | |||||||||||||||||
Weighted average | 5 | 5 | 8 | |||||||||||||||||
Nomination courses: Leadership development programs | ||||||||||||||||||||
Number of training hours | 22,896 | 6,264 | 47,454 | Due to COVID-19 only two ECAP programs started in 2021. | ||||||||||||||||
Number of employees attending (unique) | 216 | 48 | 322 |
Our people - Diversity & inclusion | ||||||||||||||||||||||||||||||||||||||
Description | Gender | Gender ratio | Age group | Comments | ||||||||||||||||||||||||||||||||||
Male/female in managerial positions and in Supervisory Board (in headcount) 1 | Female | Male | Total | Female | Male | < 30 | 30 - 50 | >50 | Total | |||||||||||||||||||||||||||||
Supervisory Board | 3 | 5 | 8 | 38 | % | 62 | % | 8 | 8 | |||||||||||||||||||||||||||||
Board of Management | 5 | 5 | — | % | 100 | % | 1 | 4 | 5 | |||||||||||||||||||||||||||||
Senior Management | 67 | 555 | 622 | 11 | % | 89 | % | 283 | 339 | 622 | ||||||||||||||||||||||||||||
Middle Management | 363 | 2,505 | 2,868 | 13 | % | 87 | % | 1 | 1,704 | 1,163 | 2,868 | |||||||||||||||||||||||||||
Junior Management | 218 | 1,170 | 1,388 | 16 | % | 84 | % | 36 | 1,136 | 216 | 1,388 | |||||||||||||||||||||||||||
Other | 4,607 | 19,732 | 24,339 | 19 | % | 81 | % | 5,708 | 15,311 | 3,320 | 24,339 | |||||||||||||||||||||||||||
Total | 5,258 | 23,972 | 29,230 | 18 | % | 82 | % | 5,745 | 18,435 | 5,050 | 29,230 | |||||||||||||||||||||||||||
Gender | Gender ratio | |||||||||||||||||||||||||||||||||||||
Male/female split by sector (in FTE) | Female | Male | Total | Female | Male | |||||||||||||||||||||||||||||||||
Customer Support | 795 | 6,596 | 7,391 | 11 | % | 89 | % | |||||||||||||||||||||||||||||||
Manufacturing and Supply Chain Management | 1,507 | 5,973 | 7,480 | 20 | % | 80 | % | |||||||||||||||||||||||||||||||
Research & Development | 1,733 | 10,098 | 11,831 | 15 | % | 85 | % | |||||||||||||||||||||||||||||||
General & Administrative | 1,099 | 1,632 | 2,731 | 40 | % | 60 | % | |||||||||||||||||||||||||||||||
Sales and Mature Product Services | 116 | 586 | 702 | 17 | % | 83 | % | |||||||||||||||||||||||||||||||
Strategic Supply Management | 192 | 515 | 707 | 27 | % | 73 | % | |||||||||||||||||||||||||||||||
Total | 5,442 | 25,400 | 30,842 | 18 | % | 82 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 282 | |||||||||||||||
Non-financial indicators (continued) |
Attractive workplace for all – Diversity & inclusion | |||||||||||||||||||||||||||||||||||||||||||||||||||||
Description | Gender | Gender ratio | Age group | Comments | |||||||||||||||||||||||||||||||||||||||||||||||||
Male/female in managerial positions and on Supervisory Board (in headcount)1 | Female | Male | Unknown | Total | Female | Male | Unknown | < 30 | 30 - 50 | >50 | Unknown | Total | |||||||||||||||||||||||||||||||||||||||||
Supervisory Board | 4 | 5 | — | 9 | 44 | % | 56 | % | — | % | — | — | 9 | — | 9 | ||||||||||||||||||||||||||||||||||||||
Board of Management | — | 5 | — | 5 | — | % | 100 | % | — | % | — | 1 | 4 | — | 5 | ||||||||||||||||||||||||||||||||||||||
Senior management | 78 | 623 | — | 701 | 11 | % | 89 | % | — | % | — | 311 | 390 | — | 701 | ||||||||||||||||||||||||||||||||||||||
Middle management | 469 | 2,869 | 1 | 3,339 | 14 | % | 86 | % | — | % | 1 | 1,994 | 1,344 | — | 3,339 | ||||||||||||||||||||||||||||||||||||||
Junior management | 312 | 1,502 | — | 1,814 | 17 | % | 83 | % | — | % | 64 | 1,480 | 270 | — | 1,814 | ||||||||||||||||||||||||||||||||||||||
Other | 5,962 | 23,369 | 4 | 29,335 | 20 | % | 80 | % | — | % | 7,714 | 18,001 | 3,620 | — | 29,335 | ||||||||||||||||||||||||||||||||||||||
Total | 6,825 | 28,373 | 5 | 35,203 | 19 | % | 81 | % | — | % | 7,779 | 21,787 | 5,637 | — | 35,203 | ||||||||||||||||||||||||||||||||||||||
Gender | Gender ratio | ||||||||||||||||||||||||||||||||||||||||||||||||||||
Male/female split by sector (in FTE) | Female | Male | Unknown | Total | Female | Male | Unknown | ||||||||||||||||||||||||||||||||||||||||||||||
Customer Support | 1,055 | 7,741 | 8 | 8,804 | 12 | % | 88 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
Manufacturing and Supply Chain Management | 1,732 | 7,142 | 91 | 8,965 | 19 | % | 80 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
Research and Development | 2,203 | 11,598 | 121 | 13,922 | 16 | % | 83 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
General and Administrative | 1,520 | 2,217 | 7 | 3,744 | 41 | % | 60 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
Sales and Mature Product Services | 116 | 552 | — | 668 | 17 | % | 83 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
Strategic Supply Management | 545 | 983 | 12 | 1,540 | 35 | % | 64 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
Total | 7,171 | 30,233 | 239 | 37,643 | 19 | % | 80 | % | 1 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 283 | |||||||||||||||
Non-financial indicators (continued) |
Attractive workplace for all – Diversity & inclusion | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Number of nationalities working for ASML | ||||||||||||||||||||
Asia | 35 | 33 | 40 | |||||||||||||||||
EMEA | 103 | 108 | 124 | |||||||||||||||||
US | 86 | 90 | 101 | |||||||||||||||||
Worldwide total | 120 | 122 | 143 | |||||||||||||||||
Foreign nationals working for ASML (in %) | Foreign nationals working for ASML (in %) is the percentage of payroll and temporary employees with a nationality other than the country in which the employee is working. | |||||||||||||||||||
Asia | 6 | 5 | 5 | |||||||||||||||||
EMEA | 32 | 33 | 38 | |||||||||||||||||
US | 27 | 28 | 25 | |||||||||||||||||
Worldwide total | 25 | 26 | 28 |
Attractive workplace for all – Labor relations | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Percentage of employees covered by collective bargaining agreements | 53 | % | 52 | % | 53 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 284 | |||||||||||||||
Non-financial indicators (continued) |
Our people - Diversity & inclusion | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Workforce by gender male / female (in %) | ||||||||||||||
Female | 16 | 17 | 18 | |||||||||||
Male | 84 | 83 | 82 | |||||||||||
Total | 100 | 100 | 100 | |||||||||||
Number of nationalities working for ASML | ||||||||||||||
Asia | 36 | 35 | 33 | |||||||||||
Europe | 103 | 103 | 108 | |||||||||||
US | 82 | 86 | 90 | |||||||||||
Worldwide total | 118 | 120 | 122 | |||||||||||
Foreign nationals working for ASML (in %) | Foreign nationals working for ASML (in %) is the percentage of payroll and temporary employees with another nationality than the country in which the employee is working | |||||||||||||
Asia | 6 | 6 | 5 | |||||||||||
Europe | 31 | 32 | 33 | |||||||||||
US | 29 | 27 | 28 | |||||||||||
Worldwide total | 25 | 25 | 26 |
Attractive workplace for all – Fair remuneration2 | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Ratio of base salary of women to men total1 | ||||||||||||||||||||
Senior management | 99 | % | 99 | % | 100 | % | ||||||||||||||
Middle management | 98 | % | 99 | % | 99 | % | ||||||||||||||
Non-management | 98 | % | 98 | % | 98 | % | ||||||||||||||
Ratio of base salary of women to men Asia1 | ||||||||||||||||||||
Senior management | n/a | n/a | 102 | % | ||||||||||||||||
Middle management | n/a | n/a | 98 | % | ||||||||||||||||
Non-management | n/a | n/a | 95 | % | ||||||||||||||||
Ratio of base salary of women to men EMEA1 | ||||||||||||||||||||
Senior management | n/a | n/a | 99 | % | ||||||||||||||||
Middle management | n/a | n/a | 98 | % | ||||||||||||||||
Non-management | n/a | n/a | 98 | % | ||||||||||||||||
Ratio of base salary of women to men US1 | ||||||||||||||||||||
Senior management | n/a | n/a | 100 | % | ||||||||||||||||
Middle management | n/a | n/a | 100 | % | ||||||||||||||||
Non-management | n/a | n/a | 100 | % | ||||||||||||||||
Ratio of total cash of women to men total1 | ||||||||||||||||||||
Senior management | 99 | % | 99 | % | 102 | % | ||||||||||||||
Middle management | 98 | % | 99 | % | 98 | % | ||||||||||||||
Non-management | 97 | % | 98 | % | 97 | % | ||||||||||||||
Ratio of total cash of women to men Asia1 | ||||||||||||||||||||
Senior management | n/a | n/a | 110 | % | ||||||||||||||||
Middle management | n/a | n/a | 92 | % | ||||||||||||||||
Non-management | n/a | n/a | 96 | % | ||||||||||||||||
Ratio of total cash of women to men EMEA1 | ||||||||||||||||||||
Senior management | n/a | n/a | 101 | % | ||||||||||||||||
Middle management | n/a | n/a | 98 | % | ||||||||||||||||
Non-management | n/a | n/a | 98 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 285 | |||||||||||||||
Non-financial indicators (continued) |
Our people - Labor relations | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Percentage of employees covered by collective bargaining agreements | 52 | % | 53 | % | 52 | % |
Our people - Fair remuneration | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Ratio of base salary of women to men 1,2 | ||||||||||||||
Senior Management 3 | 103 | % | 99 | % | 99 | % | Calculation method has been changed compared to 2019 see footnote 3. | |||||||
Middle Management 3 | 99 | % | 98 | % | 99 | % | ||||||||
Non-management 3 | 98 | % | 98 | % | 98 | % | ||||||||
Ratio of base salary women to men, split by region 1 | Split to region is made since 2021, including comparative figure for 2020. | |||||||||||||
Europe | — | % | 99 | % | 99 | % | ||||||||
Asia | — | % | 96 | % | 96 | % | ||||||||
US | — | % | 99 | % | 100 | % | ||||||||
Ratio of total cash of women to men 1,4 | Total cash is base salary plus short-term incentive. | |||||||||||||
Senior Management 5 | 102 | % | 99 | % | 99 | % | Calculation method has been changed compared to 2019 see footnote 5. | |||||||
Middle Management 5 | 98 | % | 98 | % | 99 | % | ||||||||
Non-Management 5 | 98 | % | 97 | % | 98 | % | ||||||||
Ratio of total cash women to men, split by region 1 | Split to region is made since 2021, including comparative figure for 2020. | |||||||||||||
Europe | — | % | 97 | % | 98 | % | ||||||||
Asia | — | % | 96 | % | 96 | % | ||||||||
US | — | % | 99 | % | 100 | % | ||||||||
Internal pay ratio (CEO versus employee remuneration) 6 | 38 | 38 | 40 | For more information, see Supervisory Board - Remuneration Report |
Attractive workplace for all – Fair remuneration2 | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Ratio of total cash of women to men US1 | ||||||||||||||||||||
Senior management | n/a | n/a | 96 | % | ||||||||||||||||
Middle management | n/a | n/a | 100 | % | ||||||||||||||||
Non-management | n/a | n/a | 100 | % | ||||||||||||||||
Internal pay ratio (CEO versus employee remuneration)3 | 38 | 40 | 34 | For more information, see Remuneration Report. |
Our people - Employee safety | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
ASML recordable incident rate | 0.28 | 0.18 | 0.17 | |||||||||||
Number of recordable incidents | 66 | 46 | 48 | |||||||||||
Number of fatalities | — | — | — | |||||||||||
Number of recordable incidents by region: | ||||||||||||||
Asia | 12 | 12 | 7 | |||||||||||
Europe | 26 | 19 | 29 | |||||||||||
US | 28 | 15 | 12 | |||||||||||
Number of first-aid incidents per body part affected: | ||||||||||||||
Head | 45 | 37 | 45 | |||||||||||
Eyes | 4 | 7 | 8 | |||||||||||
Shoulder | 4 | 4 | 10 | |||||||||||
Chest | 2 | 3 | 2 | |||||||||||
Back | 17 | 10 | 13 | |||||||||||
Arm | 19 | 12 | 12 | |||||||||||
Hand | 80 | 70 | 74 | |||||||||||
Leg | 29 | 19 | 18 | |||||||||||
Foot | 12 | 19 | 19 | |||||||||||
Other | 29 | 1 | 12 | |||||||||||
Total | 241 | 182 | 213 | |||||||||||
Number of first-aid incidents per region: | ||||||||||||||
Asia | 44 | 47 | 34 | |||||||||||
Europe | 143 | 80 | 112 | |||||||||||
US | 54 | 55 | 67 | |||||||||||
Total | 241 | 182 | 213 | |||||||||||
Number of near misses by region: | A near miss is an unplanned event which did not result in injury, illness, or damage, but had the potential to do so | |||||||||||||
Asia | 1,031 | 3,201 | 1,868 | |||||||||||
Europe | 1,498 | 1,221 | 1,354 | |||||||||||
US | 718 | 631 | 991 | |||||||||||
Total | 3,247 | 5,053 | 4,213 |
Community engagement | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Number of students reached | 8,998 | 13,378 | 9,168 | |||||||||||
Time investment of volunteers (in hours) - Technology promotion and Campus promotion | 5,445 | 2,936 | 1,886 | |||||||||||
Time investment of volunteers (in hours) - Community Involvement | 7,664 | 1,333 | 2,393 | |||||||||||
Total cost of volunteering (x €1,000) | 772 | 271 | 283 | |||||||||||
# ASML Foundation projects supported | 17 | 22 | 22 |
Our supply chain - Responsible supply chain | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
RBA Code of Conduct compliance contract clause for LTSA suppliers (in %) | 59 | % | 67 | % | 76 | % | ||||||||
Suppliers assessed on sustainability (in #) split by: | ||||||||||||||
Audits | 12 | — | — | In 2020 and 2021, the audits have been put on hold due to COVID-19. | ||||||||||
RBA Self-Assessment Questionnaire (SAQ) | 29 | 59 | 56 | |||||||||||
RBA self-assessment completed (in %) | 78 | % | 88 | % | 89 | % | This indicator measures whether improvement plans are closed before the due date agreed with the supplier. The improvement plans are initiated in prior or current reporting period(s) based on RBA SAQs or Audits. | |||||||
Suppliers identified with overall risk level 'high' on all sustainability elements (in #) | — | — | — | The risk level is determined by means of the RBA SAQ and ASML assessment, applied to major product-related suppliers | ||||||||||
Our supply chain - Supply chain | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Total number of suppliers | 5,003 | 4,749 | 4,657 | |||||||||||
Number of suppliers, split by region: | ||||||||||||||
Asia | 1,356 | 1,313 | 1,319 | |||||||||||
EMEA (excl. Netherlands) | 700 | 684 | 702 | |||||||||||
Netherlands | 1,620 | 1,477 | 1,459 | |||||||||||
North America | 1,327 | 1,275 | 1,177 | |||||||||||
Total | 5,003 | 4,749 | 4,657 | |||||||||||
Number of suppliers, split by: | ||||||||||||||
Product-related | 790 | 779 | 772 | |||||||||||
Non-product related | 4,213 | 3,970 | 3,885 | |||||||||||
Total | 5,003 | 4,749 | 4,657 | Only Tier 1 suppliers | ||||||||||
Number of suppliers, split by: | ||||||||||||||
Critical | 221 | 222 | 229 | Critical suppliers are Tier 1 suppliers of strategic importance | ||||||||||
Non-critical | 4,782 | 4,527 | 4,428 | |||||||||||
Total | 5,003 | 4,749 | 4,657 | |||||||||||
Number of critical suppliers, split by: | ||||||||||||||
Product-related | 198 | 188 | 197 | |||||||||||
Non-product related | 23 | 34 | 32 | |||||||||||
Total | 221 | 222 | 229 | |||||||||||
Number of suppliers in scope for risk management | 212 | 235 | 243 | This includes 14 critical N-Tier suppliers | ||||||||||
Total sourcing spend (in million €) | 6,683 | 7,645 | 9,045 | |||||||||||
Sourcing spend per supplier group (in %) | ||||||||||||||
Product-related | 66 | % | 68 | % | 70 | % | ||||||||
Non-product related | 34 | % | 32 | % | 30 | % | ||||||||
Proportion of spending on local suppliers (in %) | We define 'local' as the country in which a significant location of operation is located. The significant locations of operations are the main manufacturing sites of ASML, which are located in Veldhoven, the Netherlands; Linkou, Taiwan; San Diego and in Wilton, both in the United States. | |||||||||||||
Veldhoven | 46 | % | 47 | % | 45 | % | A relatively large amount of the total supplier spend for Veldhoven relates to Carl Zeiss (non-local) | |||||||
Linkou | 46 | % | 48 | % | 50 | % | ||||||||
San Diego | 89 | % | 94 | % | 92 | % | ||||||||
Wilton | 66 | % | 71 | % | 64 | % |
Responsible business - Business ethics | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Total number of Speak Up messages | 255 | 229 | 396 | In October 2020 a new code of conduct and an updated speak up policy is launched. | ||||||||||
Anti-corruption & bribery Speak Up messages | 16 | 19 | 37 | None of the Speak Up messages led to any indication of violation of anti-corruption laws. | ||||||||||
Human rights Speak Up messages | 58 | 69 | 187 | |||||||||||
% Completion of Code of Conduct online training | 86 | % | 88 | % | 71 | % | ||||||||
Responsible business - Product safety | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Percentage of product types shipped that have a SEMI S2 Safety Guidelines compliance report | 100 | % | 100 | % | 100 | % | ||||||||
Number of (significant) fines for non-compliance with product design related laws and regulations | — | — | — |
Responsible business - Water management | ||||||||||||||
Description | 2019 | 2020 | 2021 | Comments | ||||||||||
Water consumption (in 1,000 m3) | ||||||||||||||
Veldhoven | 628 | 658 | 728 | |||||||||||
San Diego | 90 | 80 | 105 | |||||||||||
Wilton | 90 | 94 | 95 | |||||||||||
Linkou | 30 | 28 | 26 | |||||||||||
San Jose | — | — | 21 | In scope for this indicator since 2021. | ||||||||||
Tainan | — | — | 30 | In scope for this indicator since 2021. | ||||||||||
Other | — | — | 36 | In scope for this indicator since 2021. Other includes the locations with more than 250 FTE combined. | ||||||||||
Total | 838 | 860 | 1,041 | Municipal water supply | ||||||||||
Total Ultrapure water consumption (in 1,000 m3) | 115 | 127 | 84 | Only Veldhoven, Linkou and HMI Tainan are in scope for this indicator. The other locations are excluded from the scope because the data to report on the indicator is not yet available. | ||||||||||
Total water recycled and reused (in %) | 2.4 | % | 1.8 | % | 1.2 | % | Only Veldhoven, Linkou and HMI Tainan are in scope for this indicator. The other locations are excluded from the scope because the data to report on the indicator is not yet available. | |||||||
Water intensity | 71 | 62 | 56 | Water intensity is calculated as total water consumption (in m3) divided by total revenue (in millions). |
Type of employee | |||||||||||||||||
(S) Community engagement | (S) Diversity and inclusion (S) Innovation ecosystem - Startups and scaleups support | (E) Product stewardship (E) Circular economy - Re-use (E) Circular economy - Recycling (S) ESG risk supply chain (S) Responsible supply chain (S) Innovation partnership | 2 | ||||||||||||||
i. life insurance3 | yes | yes | no | ||||||||||||||
ii. healthcare3 | yes | yes | no | ||||||||||||||
iii. disability and invalidity coverage3 | yes | yes | no | ||||||||||||||
iv. parental leave3 | yes | yes | no | ||||||||||||||
(G) Financing policy | (G) Business ethics and compliance (G) Information security (G) IP Protection (G) Product safety (G) Enterprise risk management | ||||||||||||||||
vi. stock ownership | yes | no |
NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | ||||||||||||||||||||||||||||
Attractive workplace for all – Employee safety | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
ASML recordable incident rate1 | 0.18 | 0.17 | 0.18 | Includes illness and injuries. | ||||||||||||||||
Number of recordable incidents (employees) | 46 | 48 | 63 | |||||||||||||||||
Number of recordable incidents (contractors) | n/a | n/a | 9 | |||||||||||||||||
Number of fatalities | — | — | — | This relates to both employees and workers who are not employees. | ||||||||||||||||
Employees with work-related injuries split by: | ||||||||||||||||||||
Rate of fatalities | n/a | n/a | — | |||||||||||||||||
Number of recordable injuries | n/a | n/a | 48 | |||||||||||||||||
Rate of recordable injuries | n/a | n/a | 0.14 | |||||||||||||||||
Number of high-consequence injuries | n/a | n/a | 2 | |||||||||||||||||
Rate of high-consequence injuries | n/a | n/a | 0.01 | |||||||||||||||||
Main types of work-related injuries by employees (split by hazard group) | ||||||||||||||||||||
Electrical | n/a | n/a | 1 | |||||||||||||||||
Ergonomics | n/a | n/a | 17 | |||||||||||||||||
Facilities | n/a | n/a | 88 | |||||||||||||||||
Hazardous substances & materials | n/a | n/a | 9 | |||||||||||||||||
Hoisting & lifting | n/a | n/a | 10 | |||||||||||||||||
Mechanical | n/a | n/a | 147 | |||||||||||||||||
Pressure systems | n/a | n/a | 1 | |||||||||||||||||
Thermal | n/a | n/a | 2 | |||||||||||||||||
Travel | n/a | n/a | 10 | |||||||||||||||||
# hours worked | n/a | n/a | 68,746,820 | |||||||||||||||||
Workers who are not employees with work-related injuries split by: | ||||||||||||||||||||
Number of recordable injuries | n/a | n/a | 8 | |||||||||||||||||
Number of high-consequence injuries | n/a | n/a | — | |||||||||||||||||
þ þ | þ þ | ||||||||||||||||||||||||||||
þ þ þ þ þ þ | |||||||||||||||||||||||||||||
þ | þ þ | þ | |||||||||||||||||||||||||||
þ þ þ þ þ þ þ | SDG 8 | GOVERNANCE | FINANCIALS | 287 | |||||||||||||||||||||||||
þ þ | |||||||||||||||||||||||||||||
Attractive workplace for all – Employee safety | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Main types of work-related injuries by workers who are not employees (split by hazard group) | ||||||||||||||||||||
Electrical | n/a | n/a | 1 | |||||||||||||||||
Ergonomics | n/a | n/a | 3 | |||||||||||||||||
Facilities | n/a | n/a | 18 | |||||||||||||||||
Hazardous substances & materials | n/a | n/a | 1 | |||||||||||||||||
Hoisting & lifting | n/a | n/a | 5 | |||||||||||||||||
Mechanical | n/a | n/a | 29 | |||||||||||||||||
Pressure systems | n/a | n/a | 2 | |||||||||||||||||
Travel | n/a | n/a | 1 | |||||||||||||||||
Employees with work-related ill health split by: | ||||||||||||||||||||
Number of recordable ill-health | n/a | n/a | 15 | |||||||||||||||||
Main types of work-related ill health by employees (split by hazard group) | n/a | n/a | — | |||||||||||||||||
Ergonomics | n/a | n/a | 22 | |||||||||||||||||
Facilities | n/a | n/a | 4 | |||||||||||||||||
Hazardous gasses | n/a | n/a | — | |||||||||||||||||
Hazardous substances & materials | n/a | n/a | 4 | |||||||||||||||||
Hoisting & lifting | n/a | n/a | 2 | |||||||||||||||||
Mechanical | n/a | n/a | 1 | |||||||||||||||||
Pressure systems | n/a | n/a | 1 | |||||||||||||||||
Workers who are not employees with work-related ill health split by: | ||||||||||||||||||||
Number of recordable ill-health | n/a | n/a | 1 | |||||||||||||||||
Main types of work-related ill health by workers who are not employees (split by hazard group) | ||||||||||||||||||||
Ergonomics | n/a | n/a | 2 | |||||||||||||||||
Hazardous gasses | n/a | n/a | 1 | |||||||||||||||||
Mechanical | n/a | n/a | 1 | |||||||||||||||||
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 288 | |||||||||||||||
Non-financial indicators (continued) |
Our supply chain – Responsible supply chain | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Suppliers assessed on sustainability (in #), split by: | ||||||||||||||||||||
Audits | — | — | 2 | In 2020 and 2021, the audits were put on hold due to the COVID-19 restrictions. | ||||||||||||||||
RBA Self-Assessment Questionnaire (SAQ) | 59 | 56 | 59 |
Our supply chain – Supply chain | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Total number of suppliers | 4,749 | 4,657 | 4,984 | |||||||||||||||||
Number of suppliers per region: | ||||||||||||||||||||
Asia | 1,313 | 1,319 | 1,348 | |||||||||||||||||
EMEA (excl. Netherlands) | 684 | 702 | 745 | |||||||||||||||||
Netherlands | 1,477 | 1,459 | 1,584 | |||||||||||||||||
North America | 1,275 | 1,177 | 1,307 | |||||||||||||||||
Total | 4,749 | 4,657 | 4,984 | |||||||||||||||||
Number of suppliers, split by: | ||||||||||||||||||||
Product-related | 779 | 772 | 789 | |||||||||||||||||
Non-product-related | 3,970 | 3,885 | 4,195 | |||||||||||||||||
Total | 4,749 | 4,657 | 4,984 | The majority are Tier 1 suppliers. | ||||||||||||||||
Number of suppliers, split by: | ||||||||||||||||||||
Critical | 222 | 229 | 245 | Critical suppliers are Tier 1 suppliers of strategic importance. | ||||||||||||||||
Non-critical | 4,527 | 4,428 | 4,739 | |||||||||||||||||
Total | 4,749 | 4,657 | 4,984 | |||||||||||||||||
Number of critical suppliers, split by: | ||||||||||||||||||||
Product-related | 188 | 197 | 216 | |||||||||||||||||
Non-product-related | 34 | 32 | 29 | |||||||||||||||||
Total | 222 | 229 | 245 | |||||||||||||||||
Number of suppliers in scope for risk management | 235 | 243 | 264 | This includes 19 critical Tier 2 suppliers. | ||||||||||||||||
Total sourcing spend (in million EUR) | 7,645 | 9,045 | 12,402 | |||||||||||||||||
Sourcing spend per supplier group (in %) | ||||||||||||||||||||
Product-related | 68 | % | 70 | % | 69 | % | ||||||||||||||
Non-product-related | 32 | % | 30 | % | 31 | % |
ASML ANNUAL REPORT 2022 | NON-FINANCIAL INDICATORS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 289 | |||||||||||||||
Non-financial indicators (continued) |
Our supply chain – Supply chain | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Proportion of spending on local suppliers (in %) | We define ‘local’ as the country in which a significant location of operation is located. The significant locations of operations are the main manufacturing sites of ASML, which are located in Veldhoven, the Netherlands; Linkou, Taiwan; San Diego and Wilton, both in the United States. The manufacturing location in Tainan is immaterial for this indicator. | |||||||||||||||||||
Veldhoven | 47 | % | 45 | % | 45 | % | A relatively large amount of the total supplier spend for Veldhoven relates to Carl Zeiss (non-local). | |||||||||||||
Linkou | 48 | % | 50 | % | 53 | % | ||||||||||||||
San Diego | 94 | % | 92 | % | 92 | % | ||||||||||||||
Wilton | 71 | % | 64 | % | 71 | % |
Governance – Business ethics | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Total number of Speak Up messages, split by: | 229 | 396 | 414 | |||||||||||||||||
Anti-corruption & bribery Speak Up messages | 19 | 37 | 31 | None of the Speak Up messages indicated any violation of anti-corruption laws. | ||||||||||||||||
Human rights | 69 | 187 | 165 | |||||||||||||||||
- of which discrimination and harassment | n/a | n/a | 106 |
Governance – Product safety | ||||||||||||||||||||
Description | 2020 | 2021 | 2022 | Comments | ||||||||||||||||
Number of (significant) fines for non-compliance with product design related laws and regulations | — | — | — | |||||||||||||||||
Monetary value of significant fines for non-compliance with product design related laws and regulations | — | — | — |
ASML ANNUAL REPORT 2022 | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 290 |
Other appendices | ||||||||||||||
IN THIS SECTION | ||||||||||||||
Other appendices | ||||||||||||||
Definitions | ||||||||||||||
OTHER APPENDICES | FINANCIALS | 291 | ||||||||||||||||||
Year ended December 31 | Year ended December 31 | 2020 | 2021 | Year ended December 31 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||
(€, in thousands) | (€, in thousands) | KPMG Accountants N.V. | KPMG Network | Total | KPMG Accountants N.V. | KPMG Network | Total | (€, in thousands) | KPMG Accountants N.V. | KPMG Network | Total | KPMG Accountants N.V. | KPMG Network | Total | |||||||||||||||||||||||||||||
Audit fees | Audit fees | 2,246 | 1,090 | 3,337 | 2,449 | 1,047 | 3,496 | Audit fees | 2,449 | 1,047 | 3,496 | 3,203 | 1,064 | 4,267 | |||||||||||||||||||||||||||||
Audit-related fees | Audit-related fees | 88 | — | 88 | 90 | — | 90 | Audit-related fees | 90 | — | 90 | 150 | — | 150 | |||||||||||||||||||||||||||||
Tax fees | Tax fees | — | — | — | — | — | — | Tax fees | — | — | — | — | — | — | |||||||||||||||||||||||||||||
All other fees | All other fees | 37 | — | 37 | 27 | — | 27 | All other fees | 27 | — | 27 | 47 | 9 | 56 | |||||||||||||||||||||||||||||
Principal accountant fees | Principal accountant fees | 2,371 | 1,090 | 3,461 | 2,566 | 1,047 | 3,613 | Principal accountant fees | 2,566 | 1,047 | 3,613 | 3,400 | 1,073 | 4,473 |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 292 | |||||||||||||||
Appendix - Property, plant and equipment |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 293 | |||||||||||||||
Appendix - Dutch taxation |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 294 | |||||||||||||||
Appendix - Dutch taxation (continued) |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 295 | |||||||||||||||
Appendix - Dutch taxation (continued) |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 296 | |||||||||||||||
Appendix - Dutch taxation (continued) |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 297 | |||||||||||||||
Appendix - Financing policy |
Year ended December 31 (€, in millions) | 2021 | 2022 | ||||||
Deposits with financial institutions, governments and government related bodies | 2,131.7 | 2,548.1 | ||||||
Investments in money market funds | 2,928.3 | 3,196.7 | ||||||
Bank accounts | 1,891.8 | 1,523.5 | ||||||
Cash and cash equivalents | 6,951.8 | 7,268.3 | ||||||
Deposits with financial institutions, governments and government related bodies | 638.5 | 107.7 | ||||||
Short-term investments | 638.5 | 107.7 |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 298 | |||||||||||||||
Appendix - Financing policy (continued) |
Cumulative cash returns (Cash return is cumulative share buyback + dividend) |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 299 | |||||||||||||||
Appendix - Government regulation |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 300 | |||||||||||||||
Appendix - Offer and listing details |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 301 | |||||||||||||||
Appendix - Material contracts |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 302 | |||||||||||||||
Appendix - Exchange controls |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 303 | |||||||||||||||
Appendix - Documents on display |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 304 | |||||||||||||||
Appendix - Controls and procedures |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 305 | |||||||||||||||
Appendix - Financial calendar and investor relations |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 306 | |||||||||||||||
Appendix - ASML contact information |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 307 | |||||||||||||||
Appendix - Reference table 20-F |
Item | Form 20-F Caption | Location in this document | Page | ||||||||
Part I | |||||||||||
1 | Identity of Directors, Senior Management and Advisors | Not applicable | |||||||||
2 | Offer Statistics and Expected Timetable | Not applicable | |||||||||
3 | Key Information | ||||||||||
B. Capitalization and Indebtedness | Not applicable | ||||||||||
C. Reasons for the Offer and Use of Proceeds | Not applicable | ||||||||||
D. Risk Factors | |||||||||||
4 | Information on the Company | ||||||||||
A. History and Development of the Company | Cover Page | ||||||||||
Appendix - Property, plant and equipment | |||||||||||
Appendix - Documents on display | |||||||||||
Appendix - ASML contact information | |||||||||||
B. Business Overview | |||||||||||
Note 2 Revenue from contracts with customers | |||||||||||
Note 3 Segment disclosure | |||||||||||
Appendix - Government regulation | |||||||||||
C. Organizational Structure | |||||||||||
D. Property, Plant and Equipment | Note 13 Property, plant and equipment, net | ||||||||||
Appendix - Property, plant and equipment | |||||||||||
4A | Unresolved Staff Comments | Not applicable | |||||||||
5 | Operating and Financial Review and Prospects | ||||||||||
A. Operating Results | |||||||||||
B. Liquidity and Capital Resources | |||||||||||
Financing policy | |||||||||||
Consolidated Statements of Cash Flows | |||||||||||
Note 4 Cash and cash equivalents and short-term investments |
Item | Form 20-F Caption | Location in this document | Page | ||||||||
Note 16 Long-term debt and interest and other costs | |||||||||||
Note 17 Commitments and contingencies | |||||||||||
Note 25 Financial risk management | |||||||||||
C. Research and Development, and Licenses, etc. | |||||||||||
How we innovate | |||||||||||
Financial performance - Research and development costs | |||||||||||
Innovation ecosystem | |||||||||||
Responsible business - Intellectual Property protection | |||||||||||
D. Trend Information | |||||||||||
Risk - Risk factors | |||||||||||
E. Critical Accounting Estimates | Consolidated Financial Statements - Notes to the Consolidated Financial Statements - Note 1 General information / summary of general accounting policies | ||||||||||
6 | Directors, Senior Management and Employees | ||||||||||
A. Directors and Senior Management | Corporate | ||||||||||
B. Compensation | Remuneration | ||||||||||
C. Board Practices | Corporate | ||||||||||
Corporate | |||||||||||
D. Employees | Social - | ||||||||||
E. Share Ownership | Corporate | ||||||||||
Remuneration | |||||||||||
Note 20 Share-based compensation | |||||||||||
7 | Major Shareholders and Related Party Transactions | ||||||||||
A. Major Shareholders | Corporate | ||||||||||
B. Related Party Transactions | Note 26 Related parties and variable interest entities |
ASML ANNUAL REPORT 2022 | OTHER APPENDICES CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 308 | |||||||||||||||
Item | Form 20-F Caption | Location in this document | Page | ||||||||
8 | Financial Information | ||||||||||
A. Consolidated Statements and Other Financial Information | Consolidated Financial Statements | ||||||||||
B. Significant Changes | Financial performance - Long-term growth opportunities | ||||||||||
Notes to the Consolidated Financial Statements | |||||||||||
9 | The Offer and Listing | ||||||||||
A. Offer and Listing Details | Appendix - Offer and listing details | ||||||||||
B. Plan of Distribution | Not applicable | ||||||||||
C. Markets | Appendix - Offer and listing details | ||||||||||
D. Selling Shareholders | Not applicable | ||||||||||
E. Dilution | Not applicable | ||||||||||
F. Expenses of the Issue | Not applicable | ||||||||||
10 | Additional Information | ||||||||||
A. Share Capital | Not applicable | ||||||||||
B. Memorandum and Articles of Association | Corporate governance - Share capital | ||||||||||
C. Material Contracts | Appendix - Material contracts | ||||||||||
D. Exchange Controls | Appendix - Exchange controls | ||||||||||
E. Taxation | Appendix - Dutch taxation / US taxation | ||||||||||
F. Dividends and Paying Agents | Not applicable | ||||||||||
G. Statement by Experts | Not applicable | ||||||||||
H. Documents on Display | Appendix - Documents on display | ||||||||||
I. Subsidiary Information | Not applicable | ||||||||||
11 | Quantitative and Qualitative Disclosures About Market Risk | Note 16 Long-term debt and interest and other costs | |||||||||
Note 25 Financial risk management | |||||||||||
12 | Description of Securities Other Than Equity Securities | Appendix - Offer and listing details | |||||||||
Part II | |||||||||||
13 | Defaults, Dividend Arrearages and Delinquencies | None | |||||||||
14 | Material Modifications to the Rights of Security Holders and Use of Proceeds | None | |||||||||
15 | Controls and Procedures | Appendix - Controls and procedures | |||||||||
16A | Audit Committee Financial Expert | Supervisory Board report - Audit committee | |||||||||
16B | Code of Ethics | Responsible business - Business ethics and Code of Conduct | |||||||||
16C | Principal Accountant Fees and Services | Appendix - Principal accountant fees and services | |||||||||
16D | Exemptions from the Listing Standards for Audit Committees | Not applicable | |||||||||
16E | Purchases of Equity Securities by the Issuer and Affiliated Purchasers | Note 22 Shareholders’ equity | |||||||||
16F | Change in Registrant’s Certifying Accountant | None | |||||||||
16G | Corporate Governance | Corporate governance - Financial reporting and audit - US listing requirements | 109 | ||||||||
16H | Mine Safety Disclosure | Not applicable | |||||||||
16I | Disclosure Regarding Foreign Jurisdictions that Prevent Inspections | Not applicable | |||||||||
Part III | |||||||||||
17 | Financial Statements | Not applicable | |||||||||
18 | Financial Statements | Consolidated Financial Statements | |||||||||
19 | Exhibits | Exhibit index |
Item | Form 20-F Caption | Location in this document | Page | ||||||||
C. Interests of Experts & Counsel | Not applicable | ||||||||||
8 | Financial Information | ||||||||||
A. Consolidated Statements and Other Financial Information | Consolidated Financial Statements | ||||||||||
B. Significant Changes | Long-term growth opportunities | ||||||||||
Notes to the Consolidated Financial Statements | |||||||||||
9 | The Offer and Listing | ||||||||||
A. Offer and Listing Details | Appendix - Offer and listing details | ||||||||||
B. Plan of Distribution | Not applicable | ||||||||||
C. Markets | Appendix - Offer and listing details | ||||||||||
D. Selling Shareholders | Not applicable | ||||||||||
E. Dilution | Not applicable | ||||||||||
F. Expenses of the Issue | Not applicable | ||||||||||
10 | Additional Information | ||||||||||
A. Share Capital | Not applicable | ||||||||||
B. Memorandum and Articles of Association | Corporate Governance | ||||||||||
C. Material Contracts | Appendix - Material contracts | ||||||||||
D. Exchange Controls | Appendix - Exchange controls | ||||||||||
E. Taxation | Appendix - Dutch taxation | ||||||||||
F. Dividends and Paying Agents | Not applicable | ||||||||||
G. Statement by Experts | Not applicable | ||||||||||
H. Documents on Display | Appendix - Documents on display | ||||||||||
I. Subsidiary Information | Not applicable | ||||||||||
J. Annual Report to Security Holders | Not applicable | ||||||||||
11 | Quantitative and Qualitative Disclosures About Market Risk | Note 16 Long-term debt and interest and other costs | |||||||||
Note 25 Financial risk management | |||||||||||
12 | Description of Securities Other Than Equity Securities | Appendix - Offer and listing details | |||||||||
Part II | |||||||||||
13 | Defaults, Dividend Arrearages and Delinquencies | None |
Item | Form 20-F Caption | Location in this document | Page | ||||||||
14 | Material Modifications to the Rights of Security Holders and Use of Proceeds | None | |||||||||
15 | Controls and Procedures | Appendix - Controls and procedures | |||||||||
16A | Audit Committee Financial Expert | Supervisory Board Report - Supervisory Board committees - Audit Committee | |||||||||
16B | Code of Ethics | Responsible business - Business ethics and Code of Conduct | |||||||||
16C | Principal Accountant Fees and Services | Appendix - Principal accountant fees and services | |||||||||
16D | Exemptions from the Listing Standards for Audit Committees | Not applicable | |||||||||
16E | Purchases of Equity Securities by the Issuer and Affiliated Purchasers | Note 22 Shareholders’ equity | |||||||||
16F | Change in Registrant’s Certifying Accountant | None | |||||||||
16G | Corporate Governance | Corporate Governance – Compliance with Corporate Governance requirements – US listing requirements | |||||||||
16H | Mine Safety Disclosure | Not applicable | |||||||||
16I | Disclosure Regarding Foreign Jurisdictions that Prevent Inspections | Not applicable | |||||||||
Part III | |||||||||||
17 | Financial Statements | Not applicable | |||||||||
18 | Financial Statements | Consolidated Financial Statements | |||||||||
19 | Exhibits | Exhibit index |
ASML ANNUAL REPORT 2022 | DEFINITIONS | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 309 | |||||||||||||||
Definitions |
Name | Description | |||||||
0-9 | ||||||||
3TG | Tin, tantalum, tungsten and gold | |||||||
3D NAND | A type of non-volatile flash memory in which the memory cells are stacked vertically in multiple layers. | |||||||
A | ||||||||
A&M | Access & Mobility | |||||||
ABC compliance review | Anti-bribery and corruption compliance review | |||||||
ADAS | Advanced driver-assistance systems | |||||||
ADI | After development inspection | |||||||
AFM | The Dutch Authority for the Financial Markets (Autoriteit Financiële Markten) | |||||||
AGM | Annual general meeting | |||||||
AI | Artificial intelligence | |||||||
AIoT | Artificial intelligence of things | |||||||
Annual Report | Annual Report on Form 20-F | |||||||
Applied Materials Inc. | Semiconductor equipment company | |||||||
ARCNL | Advanced Research Center for Nanolithography | |||||||
ArF | Argon fluoride | |||||||
ArFi | Argon fluoride immersion | |||||||
ASC | Accounting Standards Codification | |||||||
ASC 740 | Accounting Standards Codification provision for income taxes | |||||||
ASML | ASML Holding N.V. | |||||||
ASML Foundation | An independent charity with strong ties to ASML that supports educational | |||||||
B | ||||||||
BAPA | Bilateral advance pricing agreements | |||||||
BEAT | Base erosion and anti-abuse tax | |||||||
Big data | Extremely large data sets that may be analyzed computationally to reveal patterns, trends and associations. | |||||||
Big Four accounting firms | Deloitte, Ernst & Young, KPMG and PricewaterhouseCoopers | |||||||
BoM | Board of Management | |||||||
BOM | Brabantse Ontwikkelings Maatschappij |
Name | Description | |||||||
Brainport Eindhoven | A technology region in the south of the Netherlands comprising companies, educational institutions and governmental organizations. | |||||||
BREEAM | Building Research Establishment Environmental Assessment Method | |||||||
Brion | Brion Technologies, Inc. | |||||||
C | ||||||||
CAGR | Compound annual growth rate | |||||||
Canon | Canon Kabushiki Kaisha | |||||||
CAPEX | ||||||||
Capital resources | ||||||||
Carl Zeiss SMT | Carl Zeiss SMT GmbH | |||||||
Cash conversion rate | An economic statistic in controlling that represents the relationship between cash flow and net profit. | |||||||
CCIP | Customer Co-investment Program | |||||||
CCPA | California Consumer Privacy Act (US) | |||||||
CCR % | Cash Conversion Rate Percentage | |||||||
CD | Critical dimension | |||||||
CDP | The Carbon Disclosure Project | |||||||
CEO | Chief Executive Officer | |||||||
CERN | The European Organization for Nuclear Research | |||||||
CFO | Chief Financial Officer | |||||||
CGU | Cash-generating unit | |||||||
CGU ASML | ASML excluding CGU Cymer Light Sources | |||||||
CHIPS and Science Act | The Creating Helpful Incentives to Produce Semiconductors and Science Act of 2022 (CHIPS Act), signed into law in August 2022, designed to boost US competitiveness, innovation, and national security. | |||||||
CISO | Chief Information Security Officer | |||||||
CIT | Corporate income tax | |||||||
CLA | Collective labor agreement | |||||||
Cleanroom | The central part of a wafer fab where wafers are processed and the environment is | |||||||
CMD | Capital Markets Day | |||||||
CMO | Chief Marketing Officer |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 310 | |||||||||||||||
Definitions (continued) |
Name | Description | |||||||
CMOS | Complementary metal–oxide semiconductor | |||||||
CO2 | Carbon dioxide | |||||||
Code | The Dutch Corporate Governance Code | |||||||
Code of Conduct | Code of ethics and conduct | |||||||
Company | ASML Holding N.V. | |||||||
Computational lithography | The use of powerful algorithms and computer modeling of the manufacturing process to optimize reticle patterns by intentionally deforming them to compensate for physical and chemical effects that occur during lithography and patterning. | |||||||
COO | Chief Operating Officer | |||||||
COSO | Committee of Sponsoring Organizations of the Treadway Commission | |||||||
COVID-19 | Coronavirus disease 2019 | |||||||
CRC | ASML’s corporate risk committee | |||||||
CRE | Corporate Real Estate department of ASML | |||||||
CRMC | Capital Research & Management Company | |||||||
CSRD | Corporate Sustainability Reporting Directive | |||||||
CTO | Chief Technology Officer | |||||||
Cyber Weerbaarheidscentrum Brainport | Foundation in the Brainport Eindhoven region that offers companies in the high-tech and manufacturing industry the opportunity to enhance their protection against cybercrime | |||||||
Cymer | Cymer Inc., Cymer LLC and its subsidiaries | |||||||
D | ||||||||
D&E | Development and engineering | |||||||
DEFRA | ||||||||
Deloitte | Deloitte Accountants B.V. | |||||||
D&I | Diversity and inclusion | |||||||
DJSI | Dow Jones Sustainability Index |
DRAM | Dynamic | |||||||
DUV | Deep ultraviolet | |||||||
E | ||||||||
EAC | Energy attribute certificates | |||||||
EBIT | Earnings before interest and taxes |
Name | Description | |||||||
EHS | Environment, health and safety | |||||||
EHS Competence Center | A group within ASML that defines EHS standards, gathers best practices and helps managers implement | |||||||
EIM | External interface module | |||||||
EMEA | Europe, the Middle East and Africa | |||||||
EMS | Environmental management system | |||||||
EPE | Edge placement error | |||||||
EPS | Earnings per share | |||||||
ERM | Enterprise risk management | |||||||
ERP | Enterprise resource planning system | |||||||
ESA | European Space Agency | |||||||
eScan | ASML’s e-beam wafer inspection system family for targeted in-line defect | |||||||
ESG | Environmental, social and governance | |||||||
ESG score | An integrated scoring system for environmental, social and governance (ESG) factors used in credit rating | |||||||
ETR | Effective tax rate | |||||||
EU | European Union | |||||||
EU-IFRS | International Financial Reporting Standards as adopted by the European Union | |||||||
EURIBOR | Euro Interbank Offered Rate | |||||||
Eurobond | A bond denominated in Euros | |||||||
Euroclear Nederland | The Dutch Central Securities Depository (Nederlands Centraal Instituut voor Giraal Effectenverkeer B.V.) | |||||||
Euronext Amsterdam | Euronext Amsterdam N.V. | |||||||
EUV | A lithography technology that uses extreme ultraviolet light with a wavelength of 13.5 nm. This is currently the cutting edge of lithography, enabling technology nodes of 16 nm and beyond. It is used for only the most critical layers with the smallest features. | |||||||
EVP | Executive Vice President | |||||||
EVP HRO | Executive Vice President Human Resources and Organization | |||||||
Exchange Act | US Securities Exchange Act of 1934 | |||||||
ExCom | Executive Committee | |||||||
F | ||||||||
Fab | Semiconductor fabrication plant |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 311 | |||||||||||||||
Definitions (continued) |
Name | Description | |||||||
Fast shipment | A fast shipment process skips some of the testing in our factory. Final testing and formal acceptance then takes place at the customer site. This leads to a deferral of revenue recognition for those shipments until formal customer acceptance, but does provide our customers with earlier access to wafer output capacity | |||||||
FAQ | Frequently asked questions | |||||||
Farmout supplies | Our suppliers that we work with as co-investors | |||||||
FAT | Factory acceptance test | |||||||
FDII | Foreign-derived intangible income | |||||||
Feature | The elements that make up the pattern for a given layer of a | |||||||
FFHA | Foundation for Hospital Art | |||||||
Fitch | A leading provider of credit ratings, commentary and research for global capital markets | |||||||
Flash | A type of non-volatile memory used for storing and transferring | |||||||
Foundry | A contract manufacturer of logic chips | |||||||
Fraunhofer | Applied research organization in Germany | |||||||
FTEs | Full-time equivalents | |||||||
FTSE4Good | Series of ethical investment stock market indices launched in 2001 by the FTSE | |||||||
G | ||||||||
G-SEED | Green Standard for Energy and Environmental Design | |||||||
GAAP | Generally accepted accounting principles | |||||||
GDP | Gross domestic product | |||||||
GDPR | General data protection regulation | |||||||
GeSI | Global e-Sustainability Initiative | |||||||
GHG | Greenhouse gas | |||||||
GILTI | Global intangible low-tax income | |||||||
GPU | Graphics processing unit | |||||||
GRI | Global Reporting Initiative | |||||||
GRI standards | GRI sustainability reporting standards | |||||||
H | ||||||||
H2 | ||||||||
HDD | Hard disk drive | |||||||
HMI | The brand name for |
Name | Description | |||||||
Holistic lithography | ||||||||
Horizon Europe Program | A public-private partnership that facilitates collaboration and strengthens the impact of research and innovation in developing, supporting and implementing EU policies while tackling global challenges | |||||||
HR&O | Human Resources & Organization | |||||||
HTSC | High Tech Systems Center | |||||||
Huisman | Huisman Equipment BV | |||||||
HVAC | Heating, ventilation, and air conditioning | |||||||
I | ||||||||
IAS | International | |||||||
IBM | Installed base management | |||||||
IC | Integrated circuit | |||||||
ICT | Information and communication technology | |||||||
ID2PPAC | Integration of processes and modules for the 2 nm node meeting Power Performance Area and Cost requirements | |||||||
IDM | Integrated device manufacturer | |||||||
IFRS | International financial reporting standards | |||||||
Internal Control - Integrated Framework 2013 | Criteria issued by the Committee of Sponsoring Organizations of the Treadway Commission. | |||||||
IP rights | Intellectual property rights | |||||||
IRA | Inflation Reduction Act of 2022 | |||||||
IIRC | International Integrated Reporting Council | |||||||
I-REC | International renewable energy certificate | |||||||
IRS | Internal Revenue Service of the United States | |||||||
i-line | Light with a wavelength of 365 nm, generated by mercury vapor lamps and used in some lithography systems | |||||||
ILO | International Labor Organization | |||||||
Imaging | The | |||||||
imec | Interuniversitair Micro-Elektronica Centrum |
Immersion lithography | A lithography technique that uses a pool of |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 312 | |||||||||||||||
Definitions (continued) |
Inclusion Index | The overall score related to the questions included in the we@ASML survey that specifically relate to ‘inclusion' | |||||||
Intel | Intel Corporation | |||||||
Internet of Things (IoT) | A network of physical objects embedded with sensors, actuators, electronics and software that allow the objects to collect and exchange data | |||||||
IT2 | IC Technology for the 2nm Node (EU project) | |||||||
IPR | Intellectual property rights | |||||||
ISO | International Organization for Standardization | |||||||
J | ||||||||
JG+13 | Job grade 13 and higher | |||||||
JP Morgan Chase | A US-based global leader in financial services offering solutions to the world's most important corporations, governments and institutions | |||||||
K | ||||||||
KLA-Tencor | KLA-Tencor Corporation | |||||||
KPI | Key performance indicator | |||||||
KPMG | KPMG Accountants N.V. | |||||||
K-Reach | Act on the Registration and Evaluation of Chemicals in South Korea | |||||||
KrF | Krypton fluoride | |||||||
kt | Kilotonne or 1,000 tonnes (1 tonne = unit of mass equal to 1,000 kilograms) | |||||||
kWh | Kilowatt-hour | |||||||
L | ||||||||
Light-emitting diode | ||||||||
LEED | Leadership in Energy and Environmental Design | |||||||
LGBTQIA+ | Lesbian, gay, bisexual, transgender, queer, intersex, asexual and | |||||||
LIBOR | London Interbank Offered Rate | |||||||
Lithography | Lithography, or photolithography, is the process in microchip manufacturing that uses light to pattern parts on a silicon | |||||||
Logic | Integrated devices such as microprocessors, microcontrollers and GPUs. Also refers to companies that manufacture such | |||||||
LTI | Long-term incentive | |||||||
LXP | Learning eXperience Platform | |||||||
M | ||||||||
MBA | Master of Business Administration |
Name | Description | |||||||
Memory | Microchips, such as NAND Flash and DRAM, that store information. Also refers to companies that manufacture such chips. | |||||||
Metalektro | Multi-employer union plan is managed by PME (Stichting Pensioenfonds van de Metalektro). | |||||||
Metrology | The science of weights and measures or of measurement. | |||||||
mm | Millimeter (one thousandth of a meter) | |||||||
MNP | Make Next Platform | |||||||
Moody's | An American credit rating agency that provides corporate ratings. | |||||||
MPS | Mature Products and Services | |||||||
MSCI | Morgan Stanley Capital International | |||||||
Mt | Megatonne, a metric unit equivalent to 1 million (106) tonnes, or 1 billion (109) kilograms | |||||||
MW | Megawatt, a metric unit equivalent to one million (106) watt | |||||||
N | ||||||||
NA | Numerical aperture | |||||||
NAND | A binary logical operator that gives an output when it receives one or no input; a composite of ‘NOT AND’. | |||||||
Nanoscale | The nanoscopic scale (or nanoscale) usually refers to structures with a length scale applicable to nanotechnology, usually cited as 1–100 nanometers. | |||||||
NASDAQ | NASDAQ Stock Market LLC | |||||||
Net bookings | Net bookings include all system sales orders and inflation related adjustments, for which written authorizations have been accepted. | |||||||
Net zero emissions | Reaching a state of net zero emissions involves: (a) reducing scope 1, 2 and 3 emissions to zero or to a residual level that is consistent with reaching net-zero emissions at the global or sector level in eligible 1.5°C scenarios or sector pathways and; (b) neutralizing any residual emissions at the net zero target date and any GHG emissions released into the atmosphere thereafter. | |||||||
NGO | ||||||||
NIIT | Net investment income tax | |||||||
Nikon | Nikon Corporation | |||||||
NL | The Netherlands | |||||||
nm | Nanometer (one billionth of a meter) | |||||||
Node | A steppingstone in the chipmaking | |||||||
Non-GAAP | A company’s historical or future financial performance, financial position, or cash flows that are not calculated or presented in accordance with the most comparable GAAP measure. |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 313 | |||||||||||||||
Definitions (continued) |
Name | Description | |||||||
NPR | Non-product-related | |||||||
NRE | Non-recurring engineering | |||||||
NXE | The original TWINSCAN system platform for EUV lithography | |||||||
NXT | An enhanced version of the original TWINSCAN system platform offering significantly improved overlay and productivity | |||||||
O | ||||||||
OCI | Other comprehensive income | |||||||
ODM | Original design manufacturer | |||||||
OECD | Organization for Economic Co-operation and Development | |||||||
OEM | Original equipment manufacturer | |||||||
ONE | ASML’s Our New Enterprise program, which aims to improve our business processes and IT enterprise management system | |||||||
Operations employees | Customer support and Manufacturing and Supply Chain Management employees | |||||||
Overlay | The layer-to-layer alignment of chip structures | |||||||
P | ||||||||
P&L | Statement of profit and loss | |||||||
PAS | Philips Automatic Stepper | |||||||
Pattern fidelity | A holistic measure of how well the desired pattern is reproduced on the wafer | |||||||
Pattern fidelity control | A holistic approach to controlling the whole process of manufacturing advanced microchips in high volumes that aims to improve overall yields. It draws data from production equipment and computational lithography tools, analyzing it with techniques such as machine learning to provide real-time feedback. | |||||||
Patterning | The process of creating a pattern in a surface | |||||||
PCAOB | Public Company Accounting Oversight Board | |||||||
PFAS | Perfluoroalkyl chemicals | |||||||
PGP | Product generation process |
PHLX Index | Semiconductor sector index | |||||||
Pin3S | Pilot Integration of 3nm Semiconductor Technology | |||||||
PIs | Performance Indicators | |||||||
PME | Bedrijfstakpensioenfonds Metalektro | |||||||
Preference shares foundation | Stichting Preferente Aandelen ASML |
Name | Description | |||||||
Preference share option | An option to acquire cumulative preference shares in our capital | |||||||
Q | ||||||||
Q&As | Questions and answers | |||||||
QLTCS | Quality, logistics, technology, cost and sustainability | |||||||
R | ||||||||
R&D | Research and development | |||||||
RBA | Responsible Business Alliance | |||||||
RC | ASML’s Remuneration Committee | |||||||
REACH | Registration, evaluation, authorization and restriction of chemicals | |||||||
Recoverable amount | The greater out of an asset’s fair value less costs to sell and its value in use | |||||||
REMA | EUV reticle masking module | |||||||
Remuneration policy | The remuneration policy applicable to the Board of Management of ASML Holding N.V. | |||||||
Reticle | A plate containing the pattern of features to be transferred to the wafer for each | |||||||
ROAIC | Return on average invested capital | |||||||
RoHS | Restriction of hazardous substances | |||||||
S | ||||||||
S&P | Standard & Poor's, a stock index of the United States that, due to its broad composition, gives a reliable picture of developments in the American stock market. | |||||||
Samsung | Samsung Electronics Corporation | |||||||
SAQ | Self-assessment questionnaire | |||||||
Sarbanes-Oxley Act | The Sarbanes-Oxley Act of 2002 | |||||||
SAT | Site acceptance test | |||||||
SB | ASML’s Supervisory Board | |||||||
SBTi | Science-Based Targets initiative | |||||||
Scope 1 CO2e emissions | Direct carbon dioxide emissions from resources an organization owns or controls | |||||||
Scope 2 CO2eemissions | Indirect carbon dioxide emissions due to the energy | |||||||
Scope 3 CO2e emissions | All other indirect carbon dioxide emissions that occur in an organization’s value chain | |||||||
All other indirect carbon dioxide emissions that occur in an organization’s value chain expressed as a percentage of revenue or gross profit | ||||||||
SDGs | United |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 314 | |||||||||||||||
Definitions (continued) |
Name | Description | |||||||
SEC | The United States Securities and Exchange Commission | |||||||
SEMI | Semiconductor Equipment and Materials International | |||||||
SEMI S2 | SEMI S2 – Safety Guideline, Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment, a set of performance-based EHS considerations for semiconductor manufacturing equipment | |||||||
SEMI S23 | SEMI S23 – Guide for Conservation of Energy, Utilities, and Materials Used by Semiconductor Manufacturing Equipment, guidelines for collecting, analyzing, and reporting energy-consuming semiconductor manufacturing equipment utility data | |||||||
SG&A | Selling, general and administrative expenses | |||||||
Shrink | The process of developing smaller transistors for more advanced | |||||||
SMART Photonics | Foundry for integrated photonic circuits | |||||||
SoC | System on a chip | |||||||
SPE Shareholders | A syndicate of three banks for the purpose of leasing ASML’s headquarters in | |||||||
SPIE | International society for optics and photonics | |||||||
S&SC | Sourcing and supply chain | |||||||
SSD | Solid-state drive | |||||||
Springplank 040 | Social care organization in Eindhoven offering support and guidance to homeless people | |||||||
SSRA | Safety risk assessment | |||||||
STEM | Science, technology, engineering and mathematics | |||||||
STI | Short-term incentive | |||||||
STR | Stichting Technology Rating, a non-profit organization. | |||||||
Sub fab | Located under the cleanroom floor, the sub fab contains auxiliary equipment such as the drive laser | |||||||
SWOT | Strengths, weaknesses, opportunities and threats | |||||||
T | ||||||||
TAPES3 | Technology Advances for Pilot line of Enhanced Semiconductors for 3nm | |||||||
TCFD | Task force on climate-related disclosures | |||||||
TC | ASML’s Technology Committee | |||||||
TCC | Total Cash Compensation | |||||||
TCFD | Task Force on Climate-related Financial Disclosures | |||||||
TCJA | Tax Cuts and Jobs Act | |||||||
TDC | Total direct compensation |
Name | Description | |||||||
Technical competence | The capabilities and spread of technical expertise among our people, and the extent to which they are embedded in our processes and operations | |||||||
Thales NL | Dutch branch of the international Thales Group | |||||||
Throughput | The number of wafers a system can process per hour | |||||||
Tier 1 (2,3) supplier | Tier 1 suppliers are direct suppliers whereas Tier 2, 3 and beyond refer to suppliers of our suppliers | |||||||
TJ | Terajoule (one trillion joules) | |||||||
TNO | Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek (Netherlands Organisation for Applied Scientific Research) | |||||||
Transistor | A semiconductor device that is the fundamental building block of microchips | |||||||
TSCA | Toxic Substances Control Act | |||||||
TSMC | Taiwan Semiconductor Manufacturing Company Ltd. | |||||||
TSR | Total shareholder return | |||||||
TWINSCAN | ASML’s unique lithography system platform, with two complete wafer stages to allow one wafer to be mapped while another is being exposed, | |||||||
U | ||||||||
UNGP | United Nations guiding principles | |||||||
US | United States |
US GAAP | Generally accepted accounting principles in the United States of America | |||||||
US ITC | United States International Trade Commission | |||||||
V | ||||||||
Vanderlande | A material handling and logistics automation company based in the Netherlands | |||||||
VAT | Value-added tax | |||||||
VIE | Variable interest entity | |||||||
VLSI | VLSI Research Inc. | |||||||
VNO-NCW | The Confederation of Netherlands Industry and Employers | |||||||
VOC | Volatile organic compound | |||||||
VP | Vice president | |||||||
VPA | Volume purchase agreement | |||||||
VPC | Volume parts contract | |||||||
W | ||||||||
WACC | Weighted average cost of capital | |||||||
Wafer inspection | The process of locating and analyzing individual chip defects on a wafer |
ASML ANNUAL REPORT 2022 | DEFINITIONS CONTINUED | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 315 | |||||||||||||||
Definitions (continued) |
Name | Description | |||||||
Wafer metrology | The process of measuring the quality of patterns on a wafer | |||||||
Waste intensity | The total waste in millions of kilograms (excluding construction waste) divided by revenue (in millions of euros) | |||||||
Wavelength | The distance between two peaks of a wave such as light. The shorter the wavelength of light used in a lithography system, the smaller the features the system can resolve. | |||||||
Website | www.asml.com | |||||||
WHT | Withholding tax | |||||||
Works Council | Works Council of ASML Netherlands B.V. | |||||||
wph | Wafers per hour | |||||||
X | ||||||||
XTAL | XTAL, Inc. | |||||||
Y | ||||||||
YieldStar | ||||||||
Z | ||||||||
Carl Zeiss AG | ||||||||
ASML ANNUAL REPORT 2022 | SIGNATURES | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 316 | |||||||||||||||
Signatures |
ASML ANNUAL REPORT 2022 | EXHIBIT INDEX | STRATEGIC REPORT | GOVERNANCE | FINANCIALS | 317 | |||||||||||||||
Exhibit index |
Exhibit No. | Description | |||||||
1 | ||||||||
2.1 | ||||||||
4.1 | ||||||||
4.2 | ||||||||
4.3 | ||||||||
4.4 | ||||||||
4.5 | ||||||||
4.6 | ||||||||
4.7 | ||||||||
4.8 | ||||||||
4.9 | ||||||||
4.10 | Settlement and Cross License Agreement, dated February 18, 2019, among Nikon Corporation, ASML Holding N.V. and Carl Zeiss SMT GmbH and, with regards to Sections 3(b) 2.2.1, 3.8, 6.3.3, 6.6, 10.6, 10.8, 10.14 and 10.15, Carl Zeiss AG (Incorporated by reference to the Registrant’s Annual Report on Form 20-F for the fiscal year ended December 31, 2019)3 | |||||||
4.11 |
Exhibit No. | Description | |||||||
8.1 | ||||||||
12.1 | ||||||||
13.1 | ||||||||
15.1 | ||||||||
101.INS | XBRL Instance Document2 | |||||||
101.SCH | XBRL Taxonomy Extension Schema Document2 | |||||||
101.CAL | XBRL Taxonomy Extension Calculation Linkbase Document2 | |||||||
101.DEF | XBRL Taxonomy Extension Definition Linkbase Document2 | |||||||
101.LAB | XBRL Taxonomy Extension Label Linkbase Document2 | |||||||
101.PRE | XBRL Taxonomy Extension Presentation Linkbase Document2 | |||||||
104 | Cover Page Interactive Data File (formatted as inline XBRL and contained in Exhibit 101)2 |