Table of Contents
UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
FORM 10-K
(Mark One)
x | ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the year ended October 31, 2013
OR
¨ | TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the transition period from to
Commission File Number 0-19807
SYNOPSYS, INC.
(Exact name of registrant as specified in its charter)
Delaware | 56-1546236 | |
(State or other jurisdiction of incorporation or organization) | (I.R.S. Employer Identification No.) |
700 East Middlefield Road, Mountain View, California 94043
(Address of principal executive offices, including zip code)
(650) 584-5000
(Registrant’s telephone number, including area code)
Securities Registered Pursuant to Section 12(b) of the Act:
Title of Each Class | Name of Each Exchange on Which Registered | |
Common Stock, $0.01 par value | NASDAQ Global Select Market |
Securities Registered Pursuant to Section 12(g) of the Act: None
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes x No ¨
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes ¨ No x
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes x No ¨
Indicate by check mark whether the registrant has submitted electronically and posted on its corporate Web site, if any, every Interactive Data File required to be submitted and posted pursuant to Rule 405 of Regulation S-T (§ 232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit and post such files). Yes x No ¨
Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K (§ 229.405 of this chapter) is not contained herein, and will not be contained, to the best of registrant’s knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K. x
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, or a smaller reporting company. See definitions of “large accelerated filer,” “accelerated filer” and “smaller reporting company” in Rule 12b-2 of the Exchange Act. (Check one):
Large accelerated filer x | Accelerated filer ¨ | Non-accelerated filer ¨ | Smaller Reporting Company ¨ | |||
(Do not check if a smaller reporting company) |
Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Exchange Act). Yes ¨ No x
The aggregate market value of the voting and non-voting common equity held by non-affiliates computed by reference to the price at which the common equity was last sold as of the last business day of the registrant’s most recently completed second fiscal quarter was approximately $3.8 billion. Aggregate market value excludes an aggregate of approximately 45.2 million shares of common stock held by the registrant’s executive officers and directors and by each person known by the registrant to own 5% or more of the outstanding common stock on such date. Exclusion of shares held by any of these persons should not be construed to indicate that such person possesses the power, direct or indirect, to direct or cause the direction of the management or policies of the registrant, or that such person is controlled by or under common control with the registrant.
On December 13, 2013, 154,342,900 shares of the registrant’s Common Stock, $0.01 par value, were outstanding.
DOCUMENTS INCORPORATED BY REFERENCE
Portions of the registrant’s Proxy Statement relating to the registrant’s 2014 Annual Meeting of Stockholders, scheduled to be held on April 2, 2014, are incorporated by reference into Part III of this Annual Report on Form 10-K where indicated. Except as expressly incorporated by reference, the registrant’s Proxy Statement shall not be deemed to be part of this report.
Table of Contents
SYNOPSYS, INC.
ANNUAL REPORT ON FORM 10-K
Year ended October 31, 2013
Page No. | ||||
Item 1. | 2 | |||
Item 1A. | 10 | |||
Item 1B. | 20 | |||
Item 2. | 20 | |||
Item 3. | 20 | |||
Item 4. | 21 | |||
Item 5. | 22 | |||
Item 6. | 24 | |||
Item 7. | Management’s Discussion and Analysis of Financial Condition and Results of Operations | 25 | ||
Item 7A. | 40 | |||
Item 8. | 45 | |||
Item 9. | Changes in and Disagreements with Accountants on Accounting and Financial Disclosure | 85 | ||
Item 9A. | 85 | |||
Item 9B. | 85 | |||
Item 10. | 86 | |||
Item 11. | 86 | |||
Item 12. | Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters | 86 | ||
Item 13. | Certain Relationships and Related Transactions and Director Independence | 86 | ||
Item 14. | 86 | |||
Item 15. | 87 | |||
92 |
i
Table of Contents
Cautionary Note Regarding Forward-Looking Statements
This Annual Report on Form 10-K (this Form 10-K or Annual Report) contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended (the Securities Act) and Section 21E of the Securities Exchange Act of 1934, as amended (the Exchange Act), which are subject to the “safe harbor” created by those sections. Any statements herein that are not statements of historical fact may be deemed to be forward-looking statements. For example, words such as “may,” “will,” “could,” “would,” “should,” “anticipate,” “expect,” “intend,” “believe,” “estimate,” “project” or “continue” and the negatives of such terms are intended to identify forward-looking statements. This Form 10-K includes, among others, forward-looking statements regarding our expectations about:
• | our business, product and platform strategies; |
• | our business outlook; |
• | prior and future acquisitions, including the expected benefits of completed acquisitions; |
• | the impact of macroeconomic conditions on our business and our customers’ businesses; |
• | demand for our products and our customers’ products; |
• | customer license renewals; |
• | the completion of development of our unfinished products, or further development or integration of our existing products; |
• | technological trends in integrated circuit design; |
• | our ability to successfully compete in the electronic design automation industry; |
• | the continuation of current industry trends towards vendor and customer consolidation; |
• | our license mix; |
• | litigation; |
• | our ability to protect our intellectual property rights; |
• | our cash, cash equivalents and cash generated from operations; and |
• | our future liquidity requirements. |
These statements involve certain known and unknown risks, uncertainties and other factors that could cause our actual results, time frames or achievements to differ materially from those expressed or implied in our forward-looking statements. Accordingly, we caution readers not to place undue reliance on these statements. Such risks and uncertainties include, among others, those listed in Part I, Item 1A,Risk Factors of this Form 10-K. The information included herein represents our estimates and assumptions as of the date of this filing. Unless required by law, we undertake no obligation to update publicly any forward-looking statements, or to update the reasons actual results could differ materially from those anticipated in these forward-looking statements, even if new information becomes available in the future. All subsequent written or oral forward-looking statements attributable to Synopsys or persons acting on our behalf are expressly qualified in their entirety by these cautionary statements. Readers are urged to carefully review and consider the various disclosures made in this report and in other documents we file from time to time with the Securities and Exchange Commission (SEC) that attempt to advise interested parties of the risks and factors that may affect our business.
Fiscal Year End
Our fiscal year generally ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year.
Fiscal 2013 was a 52-week year ending on November 2, 2013. Fiscal 2012 and fiscal 2011 were 53-week and 52-week years, respectively, ending on November 3, 2012 and October 29, 2011, respectively. The extra week in fiscal 2012 impacted our revenue, expenses and operating results for that year.
For presentation purposes, this Form 10-K refers to October 31 as the end of our fiscal year.
Table of Contents
Introduction
Synopsys, Inc. is a global leader in providing software, intellectual property and services used to design integrated circuits and electronic systems. For more than 25 years, we have supplied the electronic design automation (EDA) software that engineers use to design and test integrated circuits (ICs), commonly called chips. We also provide software and hardware used to develop the electronic systems that incorporate chips and the software that runs on those chips. Our intellectual property (IP) products are pre-designed circuits that engineers use as components of larger chip designs instead of designing those circuits themselves. To complement these product offerings, we provide technical services to support our solutions and help our customers develop chips and electronic systems.
Corporate Information
We incorporated in 1986 in North Carolina and reincorporated in Delaware in 1987. Our headquarters are located at 700 East Middlefield Road, Mountain View, California 94043, and our telephone number there is (650) 584-5000. We have approximately 81 offices worldwide.
Our annual and quarterly reports on Forms 10-K and 10-Q (including related filings in XBRL format), current reports on Form 8-K, and Proxy Statements relating to our annual meetings of stockholders, including any amendments to these reports, as well as filings made by our executive officers and directors, are available through our Internet website(www.synopsys.com) free of charge as soon as practicable after we file them with, or furnish them to, the SEC(www.sec.gov). The contents of our website are not part of this Form 10-K.
Background
Recent years have seen a remarkable proliferation of consumer and wireless electronic products, particularly mobile devices. The growth of the Internet and cloud computing has provided people with new ways to create, store and share information. At the same time, the increasing use of electronics in cars, buildings, appliances and other consumer products is expanding the landscape of “smart” devices.
These developments depend, in large part, on chips. It is common for a single chip to combine many components (processor, communications, memory, custom logic, input/output) into a single System-on-Chip (SoC), resulting in highly complex chip designs. The most complex chips today contain more than a billion transistors, the basic building blocks for integrated circuits, each of which may have features that are less than 1/1,000th the diameter of a human hair. At such small dimensions, the wavelength of light itself can become an obstacle to production, becoming too big to create such dense features and requiring creative and complicated new approaches from designers. Designers have turned to new manufacturing techniques, such as double patterning lithography and 3D FinFET transistors, which introduce their own challenges in design and production.
In addition, due to the popularity of mobile devices and other electronic products, there is increasing demand for integrated circuits and systems with greater functionality and performance, reduced size, and less power consumption. The designers of these products—our customers—are facing intense pressure to deliver innovative products at ever shorter times-to-market, as well as at lower prices. In other words, innovation in chip and system design today often hinges on “better,” “sooner,” and “cheaper.”
Synopsys is at the heart of accelerating innovation in the dynamic electronics market. We provide the software tools, IP, hardware and other technologies that designers use to create chips and
2
Table of Contents
systems. The designer’s task is to determine how best to locate and connect the building blocks of chips, verifying that the resulting design behaves as intended and ensuring that the design can be manufactured efficiently and cost-effectively. This task is a complicated, multi-step process that is both expensive and time-consuming.
We offer a wide range of products that help designers at different steps in the overall design process, both for the design of individual integrated circuits and for the design of larger systems. Our products can increase designer productivity and efficiency by automating tasks, keeping track of large amounts of design data, adding intelligence to the design process, facilitating reuse of past designs and reducing errors. Our IP products offer proven, high-quality pre-configured circuits that are ready-to-use in a chip design, saving customers time and enabling them direct resources to projects that differentiate their products. Our global service and support engineers also provide expert technical support and design assistance to our customers.
Products and Services
Revenue from our products and services is reported in four groups: Core EDA, which includes the Galaxy™ Design Platform, the Discovery™ Verification Platform and our FPGA (Field Programmable Gate Array) design products; IP and System-Level Solutions; Manufacturing Solutions; and Professional Services.
Core EDA Solutions
The process of designing integrated circuits contains many complex steps: architecture definition, RTL (register transfer level) design, functional/RTL verification, logic design or synthesis, gate-level verification, floorplanning, and place and route, to name just a few. Designers use our Core EDA products to automate the integrated circuit design process and to reduce errors. We offer a large number of Core EDA products intended to address the process comprehensively. Our Core EDA products generally fall into the following suites: the Galaxy Design Platform, which includes tools to design an integrated circuit, the Discovery Verification Platform, which includes tools to verify that an integrated circuit behaves as intended, and FPGA design products.
Galaxy Design Platform
Our Galaxy Design Platform provides our customers with a single, integrated chip design solution that includes industry-leading individual products and incorporates common libraries and consistent timing, delay calculation and constraints throughout the design process. The platform allows designers the flexibility to integrate internally developed and third-party tools. With this advanced functionality, common foundation and flexibility, our Galaxy Design Platform helps reduce design times, decrease integration costs and minimize the risks inherent in advanced, complex integrated circuit designs. Our products span both digital and analog/mixed-signal designs.
The principal products included in the Galaxy Design Platform are our IC Compiler™ physical design solution, Design Compiler® logic synthesis product, Galaxy Custom Designer® physical design solution for analog/mixed-signal designs, PrimeTime® timing analysis products, StarRC™ product for extraction, and IC Validator tool for physical verification.
Discovery Verification Platform
Our Discovery Verification Platform is a comprehensive, integrated portfolio of functional, analog/mixed-signal, formal and low-power verification products. The platform includes design-for-verification methodologies and provides a consistent control environment to help significantly improve the speed, breadth and accuracy of our customers’ functional and mixed-signal verification efforts. The Discovery Verification Platform’s components support industry standards and are tightly coupled together through direct kernel integration for highest throughput mixed-signal simulation.
3
Table of Contents
The principal products included in the Discovery Verification Platform are our VCS® comprehensive RTL verification solution, CustomSim™ FastSPICE and FineSim® SPICE/FastSPICE circuit simulation and analysis products, HSPICE® circuit simulator, CustomExplorer™ Ultra mixed-signal regression and analysis environment, Verdi® debug solution and Formality® formal verification sign-off solution. We also offer ZeBu® emulation systems that use high-performance, high-bandwidth hardware to emulate SoC designs so that designers can co-verify and debug the behavior of the SoC, and software running on the SoC, prior to its production.
FPGA Design Products
FPGAs are complex chips that can be customized or programmed to perform a specific function after they are manufactured. For FPGA design, we offer Synplify® Pro and Premier implementation and Identify® debug software tools.
IP and System-Level Solutions
IP Products
As more functionality converges into a single device or even a single chip, and chip designs grow more complex, the number of third-party IP blocks incorporated into designs is rapidly increasing. Synopsys is a leading provider of high-quality, silicon-proven IP solutions for SoCs. Our broad DesignWare® IP portfolio includes:
• | high quality solutions for widely used interfaces such as USB, PCI Express, DDR, Ethernet, SATA and HDMI, |
• | analog IP for analog-to-digital data conversion, audio, and video, |
• | SoC infrastructure IP including datapath IP, AMBA interconnect fabric and peripherals, and verification IP, |
• | logic libraries and embedded memories, including SRAMs and non-volatile memory, |
• | configurable processor cores for embedded and deeply embedded designs, and |
• | IP subsystems for audio and sensor functionality that combine IP blocks and software into an integrated, pre-verified solution. |
System-Level Solutions
Optimizing the system-level design earlier in the development cycle, including both hardware and software components, is increasingly important for customers to meet their performance, time-to-market, and development cost goals. Synopsys has the industry’s broadest portfolio of tools, models and services for the system-level design of SoCs.
Our Platform Architect™ software enables early and rapid exploration of SoC architectural trade-offs. To speed the creation, implementation and verification of differentiated IP blocks, we offer SPW™ and System Studio™ tools for algorithm design, Processor Designer™ software for custom processor design, and Synphony Model™ and C Compilers for high-level synthesis.
Escalating software content and complexity in today’s electronic devices are driving the adoption of new tools and methods to accelerate software development and ease hardware-software integration and system validation. Our system-level portfolio includes prototyping technologies that improve the productivity of both hardware and software development teams. Our Virtualizer™ tool and broad portfolio of transaction-level models enable the creation of virtual prototypes, fully functional software models of complete systems that enable engineers to start software development up to twelve months earlier than traditional methods. Our HAPS® FPGA-based prototyping systems integrate high performance hardware and software tools with real-world interfaces to enable faster hardware-software integration and full system validation. Our hybrid prototyping solution combines both approaches to prototyping, integrating Virtualizer virtual prototyping with HAPS FPGA-based prototyping.
4
Table of Contents
Synopsys also provides a series of tools used in the design of optical systems and photonic devices. Our CODE V® solution enables engineers to model, analyze and optimize designs for optical imaging and communication systems. Our LightTools® design and analysis software allows designers to simulate and improve the performance of a broad range of illumination systems, from vehicle lighting to projector systems.
Manufacturing Solutions
Our Manufacturing Solutions products and technologies enable semiconductor manufacturers to more quickly develop new fabrication processes that produce production-level yields. These products are used in the early research and development phase and the production phase. In the production phase, manufacturers use these products to convert IC design layouts into the masks used to manufacture the devices.
Our Manufacturing Solutions include Sentaurus™ Technology-CAD (TCAD) device and process simulation products, Proteus optical proximity correction (OPC) and lithography rule check (LRC) products, CATS® mask data preparation product, and Yield Explorer® and Odyssey Yield Management solutions.
Professional Services and Training
Synopsys provides consulting and design services that address all phases of the SoC development process. These services assist our customers with new tool and methodology adoption, chip architecture and specification development, functional and low-power design and verification, and physical implementation and signoff. We also provide a broad range of expert training and workshops on our latest tools and methodologies.
Customer Service and Technical Support
A high level of customer service and support is critical to the adoption and successful use of our products. We provide technical support for our products through both field-based and corporate-based application engineering teams. Customers who purchase Technology Subscription Licenses (TSLs) receive software maintenance services bundled with their license fee. Customers who purchase term licenses and perpetual licenses may purchase these services separately. SeeProduct Sales and Licensing Agreements below.
Software maintenance services include minor product enhancements, bug fixes and access to our technical support center for primary support. Software maintenance also includes access to the SolvNet® portal, our web-based support solution that gives customers access to Synopsys’ complete design knowledge database. Updated daily, the SolvNet portal includes documentation, design tips and answers to user questions. Customers can also engage, for additional charges, our worldwide network of applications consultants for additional support needs.
In addition, Synopsys also offers training workshops designed to increase customer design proficiency and productivity with our products. Workshops cover our products and methodologies used in our design and verification flows, as well as specialized modules addressing system design, logic design, physical design, simulation and test. We offer regularly scheduled public and private courses in a variety of locations worldwide, as well as Virtual Classroom on-demand and live online training.
Product Warranties
We generally warrant our products to be free from defects in media and to substantially conform to material specifications for a period of 90 days for our software products and for up to six months for our hardware products. In certain cases, we also provide our customers with limited indemnification with respect to claims that their use of our software products infringe on United States patents, copyrights, trademarks or trade secrets. We have not experienced material warranty or indemnity claims to date.
5
Table of Contents
Support for Industry Standards
We actively create and support standards that help our customers increase productivity, facilitate efficient design flows, improve interoperability of tools from different vendors, and ensure connectivity, functionality and interoperability of IP building blocks. Standards in the electronic design industry can be established by formal accredited organizations, industry consortia, company licensing made available to all, de facto usage, or through open source licensing.
Synopsys’ products support more than 35 standards, including the most commonly used hardware description languages: SystemVerilog, Verilog, VHDL, and SystemC. Our products utilize numerous industry standard data formats, application programming interfaces, and databases for the exchange of design data among our tools, other EDA vendors’ products, and applications that customers develop internally. We also comply with a wide range of industry standards within our IP product family to ensure usability and interconnectivity.
Sales, Distribution and Backlog
Our customers are primarily semiconductor and electronics systems companies. We market our products and services principally through direct sales in the United States and principal foreign markets. We typically distribute our software products and documentation to customers electronically, but provide physical media (i.e., DVD-ROMs) when requested by the customer.
We maintain sales/support centers throughout the United States. Outside the United States, we maintain sales, support or service offices in Canada, multiple countries in Europe, Israel, Japan, China, Korea, Taiwan and other countries in Asia. Our foreign headquarters for financial and tax purposes are located in Dublin, Ireland. Our offices are further described under Part I, Item 2,Properties.
In fiscal 2013, 2012 and 2011, an aggregate of 52%, 52% and 54%, respectively, of Synopsys’ total revenue was derived from sales outside of the U.S. Geographic revenue, which is based on customer server site location, is shown below as a percentage of total revenue for the last three fiscal years:
6
Table of Contents
Additional information relating to domestic and foreign operations, including revenue and long-lived assets by geographic area, is contained in Note 13 ofNotes to Consolidated Financial Statements in Part II, Item 8,Financial Statements and Supplementary Data. Risks related to our foreign operations are described in Part I, Item 1A,Risk Factors.
Our backlog was approximately $3.1 billion on October 31, 2013, representing a 15% increase from backlog of $2.7 billion on October 31, 2012, which resulted primarily from the renewal of large multi-year contracts in fiscal 2013. Backlog represents committed orders that are expected to be recognized as revenue over the following three years. We currently expect that $1.5 billion of our backlog will be recognized after fiscal 2014. Backlog may not be a reliable predictor of our future sales as business conditions may change and technologies may evolve, and customers may seek to renegotiate their arrangements or may default on their payment obligations. For this and other reasons, we may not be able to recognize expected revenue from backlog when anticipated.
Revenue attributable to each of our four platforms established for management reporting purposes is shown below as a percentage of total revenue for the last three fiscal years:
Revenue by Platform
Revenue derived from Intel Corporation and its subsidiaries in the aggregate accounted for 11.3%, 10.5% and 10.6% of our total revenue in fiscal 2013, 2012 and 2011, respectively.
Research and Development
Our future performance depends in large part on our ability to further enhance and extend our design and verification platforms and to expand our manufacturing, IP and system-level product offerings. Research and development on existing and new products is primarily conducted within each product group. We also use targeted acquisitions to augment our own research and development efforts.
Our research and development expenses were $669.2 million, $581.6 million and $491.9 million in fiscal 2013, 2012 and 2011, respectively. Our capitalized software development costs were approximately $3.6 million, $3.3 million and $2.9 million in fiscal 2013, 2012 and 2011, respectively.
Competition
The EDA industry is highly competitive. We compete against other EDA vendors and against our customers’ own design tools and internal design capabilities. In general, we compete principally on
7
Table of Contents
technology leadership, product quality and features (including ease-of-use), license terms, post-contract customer support, interoperability with our own and other vendors’ products, price and payment terms. No one factor drives an EDA customer’s buying decision, and we compete on all fronts to capture a higher portion of our customers’ budgets.
Our competitors include EDA vendors that offer varying ranges of products and services, such as Cadence Design Systems, Inc. and Mentor Graphics Corporation. We also compete with other EDA vendors, including frequent new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process, as well as with customers’ internally developed design tools and capabilities. In the IP area, we compete primarily with our customers’ internally developed IP.
Product Sales and Licensing Agreements
We typically license our software to customers under non-exclusive license agreements that transfer title to the media only and restrict use of our software to specified purposes within specified geographical areas. The majority of our licenses are network licenses that allow a number of individual users to access the software on a defined network, including, in some cases, regional or global networks. License fees depend on the type of license, product mix and number of copies of each product licensed.
In many cases, we provide our customers the right to “re-mix” a portion of the software they initially licensed for other specified Synopsys products. For example, a customer may use our front-end design products for a portion of the license term and then exchange such products for back-end place and route software for the remainder of the term in order to complete the customer’s IC design. This practice helps assure the customer’s access to the complete design flow needed to design its product. The ability to offer this right to customers often gives us an advantage over competitors who offer a narrower range of products because customers can obtain more of their design flow from a single vendor. At the same time, because in such cases the customer need not obtain a new license and pay an additional license fee for the use of the additional products, the use of these arrangements could result in reduced revenue compared to licensing the individual products separately without re-mix rights.
We currently offer our software products under various license types: renewable TSLs, term licenses and perpetual licenses. For a full discussion of these licenses, see Part II, Item 7,Management’s Discussion and Analysis of Financial Condition and Results of Operations—Critical Accounting Policies and Estimates andResults of Operations—Revenue Background.
We typically license our DesignWare Core intellectual property products under nonexclusive license agreements that provide usage rights for specific applications. Fees under these licenses are typically charged on a per design basis plus, in some cases, royalties.
Finally, our Global Technical Services team typically provides design consulting services to our customers under consulting agreements with statements of work specific to each project.
Proprietary Rights
Synopsys primarily relies upon a combination of copyright, patent, trademark and trade secret laws and license and nondisclosure agreements to establish and protect its proprietary rights. We have a diversified portfolio of more than 2,000 United States and foreign patents issued, and we will continue to pursue additional patents in the future. Our issued patents have expiration dates through 2032. Our patents primarily relate to our products and the technology used in connection with our products. Our source code is protected both as a trade secret and as an unpublished copyrighted work. However, third parties may develop similar technology independently. In addition, effective copyright and trade secret protection may be unavailable or limited in some foreign countries. We are not significantly dependent upon any single patent, copyright, trademark or license with respect to our proprietary rights.
8
Table of Contents
In many cases, under our customer agreements and other license agreements, we offer to indemnify our customers if the licensed products infringe on a third party’s intellectual property rights. As a result, we may from time to time need to defend claims that our customers’ use of our products infringes on these third-party rights.
Employees
As of October 31, 2013, Synopsys had 8,573 employees, of which 3,420 were based in the United States.
Executive Officers of the Registrant
The executive officers of Synopsys and their ages as of December 19, 2013 were:
Name | Age | Position | ||
Aart J. de Geus | 59 | Co-Chief Executive Officer and Chairman of the Board of Directors | ||
Chi-Foon Chan | 64 | Co-Chief Executive Officer and President | ||
Brian M. Beattie | 60 | Chief Financial Officer | ||
Joseph W. Logan | 54 | Executive Vice President, Worldwide Sales and Corporate Marketing | ||
Brian E. Cabrera | 48 | General Counsel |
Aart J. de Geus co-founded Synopsys and has served as Chairman of our Board of Directors since February 1998 and Chief Executive Officer since January 1994. He has served as Co-Chief Executive Officer with Dr. Chi-Foon Chan since May 2012. Since the inception of Synopsys in December 1986, Dr. de Geus has held a variety of positions, including President, Senior Vice President of Engineering and Senior Vice President of Marketing. He has served as a member of Synopsys’ Board of Directors since 1986, and served as Chairman of our Board from 1986 to 1992 and again from 1998 until present. Dr. de Geus has also served on the board of directors of Applied Materials, Inc. since July 2007. Dr. de Geus holds an M.S.E.E. from the Swiss Federal Institute of Technology in Lausanne, Switzerland and a Ph.D. in Electrical Engineering from Southern Methodist University.
Chi-Foon Chan has served as our Co-Chief Executive Officer since May 2012 and as our President and a member of our Board of Directors since February 1998. Prior to his appointment as our Co-Chief Executive Officer in May 2012, he had served as our Chief Operating Officer since April 1997. Dr. Chan joined Synopsys in May 1990 and has held various senior management positions, including Executive Vice President, Office of the President from September 1996 to February 1998 and Senior Vice President, Design Tools Group from February 1994 to April 1997. Dr. Chan has also held senior management and engineering positions at NEC Electronics and Intel Corporation. Dr. Chan holds a B.S. in Electrical Engineering from Rutgers University, and an M.S. and a Ph.D. in Computer Engineering from Case Western Reserve University.
Brian M. Beattie has served as our Chief Financial Officer since January 2006. From October 1999 to January 2006, he was Executive Vice President of Finance and Administration and Chief Financial Officer of SupportSoft, Inc. From May 1998 to May 1999, he served as Vice President of Finance, Mergers and Acquisitions of Nortel Networks Corporation. From July 1996 to April 1998, Mr. Beattie served as Group Vice President of Meridian Solutions of Nortel Networks Corporation. From February 1993 to June 1996, Mr. Beattie served as Vice President of Finance, Enterprise Networks, for Nortel Networks Corporation. Mr. Beattie served on the board of directors of Unwired Planet, Inc. from December 2010 until November 2012. Mr. Beattie holds a Bachelor of Commerce and an M.B.A. from Concordia University in Montreal.
9
Table of Contents
Joseph W. Logan was promoted to Executive Vice President of Worldwide Sales and Corporate Marketing in December 2013. Prior to his promotion, Mr. Logan served as our Senior Vice President of Worldwide Sales since September 2006, assuming responsibility for our Corporate Marketing organization in August 2013. He was previously head of sales for Synopsys’ North America East region from September 2001 to September 2006. Prior to Synopsys, Mr. Logan was head of North American Sales and Support at Avant! Corporation. Mr. Logan holds a B.S.E.E. from the University of Massachusetts, Amherst.
Brian E. Cabrera has served as our General Counsel since June 2006. From August 1999 to June 2006, he held various positions with Callidus Software, most recently as Senior Vice President, General Counsel and Secretary and prior to 2004 as General Counsel and Vice President of Operations and Human Resources. Prior to Callidus, Mr. Cabrera held senior legal positions at PeopleSoft, Netscape Communications, and Silicon Graphics. Mr. Cabrera holds a Bachelor of Arts in Political Science and Philosophy and a Masters in Public Administration from the University of Southern California, as well as a Juris Doctorate from the University of Southern California Law School.
There are no family relationships among any Synopsys executive officers or directors.
A description of the risk factors associated with our business is set forth below. Investors should carefully consider these risks and uncertainties before investing in our common stock.
The continued uncertainty in the global economy, and its potential impact on the semiconductor and electronics industries in particular, may negatively affect our business, operating results and financial condition.
While the global economy is improving, there are still uncertainties surrounding the strength of the recovery. Weakness in the global economy has adversely affected consumer confidence and the growth of the semiconductor industry in recent years, causing semiconductor companies to behave cautiously and focus on their costs, including their research and development budgets, which capture spending on EDA products and services. For example, uncertainty caused by the global recession caused some of our customers to postpone their decision-making, decrease their spending and/or delay their payments to us. Continuing caution by semiconductor companies could among other things limit our ability to maintain or increase our sales or recognize revenue from committed contracts and in turn adversely affect our business, operating results and financial condition.
The global recession also impacted the banking and financial services industry, resulting in the downgrade of the credit ratings of many banks, including banks we rely on for foreign currency forward contracts, credit and banking transactions and deposit services worldwide. While recent legislation is designed to strengthen the banking industry, should any of our banking partners default on their obligations, it could adversely affect our financial results and our business.
We cannot predict when widespread global economic confidence will be restored. Events such as the timing and execution of the tapering of asset purchases by the U.S. Federal Reserve may continue to drive stock market and interest rate volatility, consumer confidence and product demand. Accordingly, our future business and financial results are subject to uncertainty, and our stock price is at risk of volatile change. If economic conditions deteriorate in the future, or, in particular, if the semiconductor industry does not grow, our future revenues and financial results could be adversely affected. Conversely, in the event of future improvements in economic conditions for our customers, the positive impact on our revenues and financial results may be deferred due to our business model.
The growth of our business depends on the semiconductor and electronics industries.
The growth of the EDA industry as a whole, and our business in particular, is dependent on the semiconductor and electronics industries. A substantial portion of our business and revenue depends
10
Table of Contents
upon the commencement of new design projects by semiconductor manufacturers and their customers. The increasing complexity of designs of SoCs and ICs, and customers’ concerns about managing costs, have previously led and in the future could lead to a decrease in design starts and design activity in general, with some customers focusing more on one discrete phase of the design process or opting for less advanced, but less risky, manufacturing processes that may not require the most advanced EDA products. Demand for our products and services could decrease and our financial condition and results of operations could be adversely affected if growth in the semiconductor and electronics industries slows or stalls. Additionally, as the EDA industry matures, consolidation may result in stronger competition from companies better able to compete as sole source vendors. This increased competition may cause our revenue growth rate to decline and exert downward pressure on our operating margins, which may have an adverse effect on our business and financial condition.
Furthermore, the semiconductor and electronics industries have become increasingly complex ecosystems. Many of our customers outsource the manufacture of their semiconductor designs to foundries. Our customers also frequently incorporate third-party IP, whether provided by us or other vendors, into their designs to improve the efficiency of their design process. We work closely with major foundries to ensure that our EDA, IP, and manufacturing solutions are compatible with their manufacturing processes. Similarly, we work closely with other major providers of semiconductor IP, particularly microprocessor IP, to optimize our EDA tools for use with their IP designs and to assure that their IP and our own IP products, which may each provide for the design of separate components on the same chip, work effectively together. If we fail to optimize our EDA and IP solutions for use with major foundries’ manufacturing processes or major IP providers’ products, or if our access to such foundry processes or third-party IP products is hampered, then our solutions may become less desirable to our customers, resulting in an adverse effect on our business and financial condition.
We may not be able to realize the potential financial or strategic benefits of the acquisitions we complete, or find suitable target businesses and technology to acquire, which could hurt our ability to grow our business, develop new products or sell our products.
Acquisitions are an important part of our growth strategy. We have completed a significant number of acquisitions in recent years. We expect to make additional acquisitions in the future, but we may not find suitable acquisition targets or we may not be able to consummate desired acquisitions due to unfavorable credit markets or other risks, which could harm our operating results. Acquisitions are difficult, time-consuming, and pose a number of risks, including:
• | Potential negative impact on our earnings per share; |
• | Failure of acquired products to achieve projected sales; |
• | Problems in integrating the acquired products with our products; |
• | Difficulties entering into new market segments in which we are not experienced; |
• | Potential downward pressure on operating margins due to lower operating margins of acquired businesses, increased headcount costs and other expenses associated with adding and supporting new products; |
• | Difficulties in retaining and integrating key employees; |
• | Substantial reductions of our cash resources and/or the incurrence of debt; |
• | Failure to realize expected synergies or cost savings; |
• | Dilution of our current stockholders through the issuance of common stock as part of the merger consideration; |
• | Assumption of unknown liabilities, including tax and litigation, and the related expenses and diversion of resources; |
11
Table of Contents
• | Disruption of ongoing business operations, including diversion of management’s attention and uncertainty for employees and customers, particularly during the post-acquisition integration process; |
• | Potential negative impact on our relationships with customers, distributors and business partners; and |
• | Negative impact on our earnings resulting from the application of ASC 805,Business Combinations. |
If we do not manage these risks, the acquisitions that we complete may have an adverse effect on our business and financial condition. Additionally, if we determine we cannot use or sell the acquired products or technology, we will be required to write down the associated intangible assets, which would negatively impact our operating results.
Consolidation among our customers, as well as within the industries in which we operate, may negatively impact our operating results.
A number of business combinations, including mergers, asset acquisitions and strategic partnerships, among our customers and in the semiconductor and electronics industries have occurred recently, and more could occur in the future. Consolidation among our customers could lead to fewer customers or the loss of customers, increased customer bargaining power, or reduced customer spending on software and services. Moreover, business combinations within the industries in which we compete may result in stronger competition from companies that are better able to compete as sole source vendors to customers. The loss of customers or reduced customer spending could adversely affect our business and financial condition.
In addition, we and our competitors from time to time acquire business and technologies to complement and expand our respective product offerings. If any of our competitors consolidate or acquire businesses and technologies which we do not offer, they may be able to offer a larger technology portfolio, a larger support and service capability, or lower prices, which could negatively impact our business and operating results.
Changes in accounting principles or standards, or in the way they are applied, could result in unfavorable accounting charges or effects and unexpected financial reporting fluctuations, and could adversely affect our reported operating results.
We prepare our consolidated financial statements in conformity with U.S. Generally Accepted Accounting Principles (GAAP). These principles are subject to interpretation by the SEC and various bodies formed to interpret and create appropriate accounting principles and guidance. A change in existing principles or guidance can have a significant effect on our reported results and may retroactively affect previously reported results. Accounting standard changes could have a significant impact on our revenues and expenses, may require costly changes to our operational processes, and could cause unexpected financial reporting fluctuations.
For example, the Financial Accounting Standards Board (FASB) is currently working together with the International Accounting Standards Board (IASB) to converge certain accounting principles and facilitate more comparable financial reporting between companies that are required to follow GAAP and those that are required to follow International Financial Reporting Standards (IFRS). These efforts may result in different accounting principles under GAAP, which may have a material impact on the way in which we report financial results in areas including, but not limited to, revenue recognition, lease accounting, and financial statement presentation. The SEC may make a determination in the future regarding the incorporation of IFRS into the financial reporting system for U.S. companies. A change in accounting principles from GAAP to IFRS or to converged accounting principles may have a material impact on our financial statements and may retroactively adversely affect previously reported transactions.
12
Table of Contents
Our operating results may fluctuate in the future, which may adversely affect our stock price.
Our operating results are subject to quarterly and annual fluctuations, which may adversely affect our stock price. Our historical results should not be viewed as indicative of our future performance due to these periodic fluctuations. Many factors may cause our revenue or earnings to fluctuate, including:
• | Changes in demand for our products due to fluctuations in demand for our customers’ products and due to constraints in our customers’ budgets for research and development and EDA products and services; |
• | Product competition in the EDA industry, which can change rapidly due to industry or customer consolidation and technological innovation; |
• | Our ability to innovate and introduce new products and services or effectively integrate products and technologies that we acquire; |
• | Failures or delays in completing sales due to our lengthy sales cycle, which often includes a substantial customer evaluation and approval process because of the complexity of our products and services; |
• | Cancellations or changes to levels of license orders or the mix between upfront license revenue and time-based license revenue; |
• | The levels of our hardware revenues, which are recognized upfront and are primarily dependent upon our ability to provide the latest technology and meet customer requirements, and which can therefore increase variability in our recognized revenue; such variability in turn may impact our levels of excess and obsolete inventory expenses; |
• | Our ability to implement effective cost control measures; |
• | Delay of one or more orders for a particular period, particularly orders generating upfront license revenue; |
• | Our dependence on a relatively small number of large customers, and on such customers continuing to renew licenses and purchase additional products from us, for a large portion of our revenue; |
• | Changes in or challenges to our revenue recognition model; |
• | Customer contract amendments or renewals that provide discounts or defer revenue to later periods; |
• | Expenses related to our acquisition and integration of businesses and technology; |
• | Changes to our effective tax rate; |
• | Delays, increased costs or quality issues resulting from our reliance on third parties to manufacture our hardware products; and |
• | General economic and political conditions that affect the semiconductor and electronics industries. |
These factors, or any other factors or risks discussed herein, could negatively impact our revenue or earnings and cause our stock price to decline.
We operate in highly competitive industries, and if we do not continue to meet our customers’ demand for innovative technology at lower costs, our business and financial condition will be harmed.
We compete against EDA vendors that offer a variety of products and services, such as Cadence Design Systems, Inc. and Mentor Graphics Corporation. We also compete with other EDA vendors, including frequent new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process, as well as vendors of IP products and system-level solutions. Moreover, our customers internally develop design tools and capabilities that compete with our products.
13
Table of Contents
The industries in which we operate are highly competitive and the demand for our products and services is dynamic and depends on a number of factors, including demand for our customers’ products, design starts and our customers’ budgetary constraints. Technology in these industries evolves rapidly and is characterized by frequent product introductions and improvements and changes in industry standards and customer requirements. Semiconductor device functionality requirements continually increase while feature widths decrease, substantially increasing the complexity, cost and risk of chip design and manufacturing. At the same time, our customers and potential customers continue to demand an overall lower total cost of design, which can lead to the consolidation of their purchases with one vendor. In order to succeed in this environment, we must successfully meet our customers’ technology requirements and increase the value of our products, while also striving to reduce their overall costs and our own operating costs.
We compete principally on the basis of technology, product quality and features (including ease-of-use), license or usage terms, post-contract customer support, interoperability among products, and price and payment terms. Specifically, we believe the following competitive factors affect our success:
• | Our ability to anticipate and lead critical development cycles and technological shifts, innovate rapidly and efficiently, improve our existing products, and successfully develop or acquire new products; |
• | Our ability to offer products that provide both a high level of integration into a comprehensive platform and a high level of individual product performance; |
• | Our ability to enhance the value of our offerings through more favorable terms such as expanded license usage, future purchase rights, price discounts and other unique rights, such as multiple tool copies, post-contract customer support, “re-mix” rights that allow customers to exchange the software they initially licensed for other Synopsys products, and the ability to purchase pools of technology; and |
• | Our ability to compete on the basis of payment terms. |
If we fail to successfully manage these competitive factors, fail to successfully balance the conflicting demands for innovative technology and lower overall costs, or fail to address new competitive forces, our business and financial condition will be adversely affected.
If we fail to protect our proprietary technology, our business will be harmed.
Our success depends in part upon protecting our proprietary technology. Our efforts to protect our technology may be costly and unsuccessful. We rely on agreements with customers, employees and others and on intellectual property laws worldwide to protect our proprietary technology. These agreements may be breached, and we may not have adequate remedies for any breach. Additionally, despite our measures to prevent piracy, other parties may attempt to illegally copy or use our products, which could result in lost revenue. Some foreign countries do not currently provide effective legal protection for intellectual property and our ability to prevent the unauthorized use of our products in those countries is therefore limited. Our trade secrets may also be stolen, otherwise become known, or be independently developed by competitors.
We may need to commence litigation or other legal proceedings in order to:
• | Assert claims of infringement of our intellectual property; |
• | Defend our products from piracy; |
• | Protect our trade secrets or know-how; or |
• | Determine the enforceability, scope and validity of the propriety rights of others. |
If we do not obtain or maintain appropriate patent, copyright or trade secret protection, for any reason, or cannot fully defend our intellectual property rights in some jurisdictions, our business and
14
Table of Contents
operating results would be harmed. In addition, intellectual property litigation is lengthy, expensive and uncertain and legal fees related to such litigation will increase our operating expenses and may reduce our net income.
Unfavorable tax law changes, changes in our forecasted or actual geographical earnings mix, or an unfavorable government review of our tax returns could adversely affect our effective tax rate and our operating results.
Our operations are subject to income and transaction taxes in the United States and in multiple foreign jurisdictions. A change in the tax law in the jurisdictions in which we do business, including an increase in tax rates or an adverse change in the treatment of an item of income or expense, could result in a material increase in our tax expense. U.S. income taxes and foreign withholding taxes have not been provided for on undistributed earnings for certain of our non-U.S. subsidiaries to the extent such earnings are considered to be indefinitely reinvested in the operations of those subsidiaries. A number of proposals for broad reform of the corporate tax system in the U.S. are under evaluation by various legislative and administrative bodies, but it is not possible to determine accurately the overall impact of such proposals on our effective tax rate at this time.
We have operations in the U.S. and in multiple foreign jurisdictions with a wide range of statutory tax rates. Therefore, any changes in our geographical earnings mix in various tax jurisdictions, including those resulting from transfer pricing adjustments, could materially impact our effective tax rate. Furthermore, we maintain significant deferred tax assets related to federal research credits and foreign tax credits and certain state tax credits. Our ability to use these credits is dependent upon having sufficient future taxable income, including foreign source income in the U.S., as well as sufficient taxable income in certain states. Changes in our forecasts of future income could result in an adjustment to the deferred tax asset and a related charge to earnings that could materially affect our financial results.
The computation of our annual effective tax rate is complex as it is based on the laws of numerous taxing jurisdictions and requires significant judgment on the application of complicated rules governing accounting for tax provisions under U.S. GAAP. Income tax expense (benefit) for interim quarters is based on a forecast of our worldwide effective tax rate. This forecast includes forward looking financial projections by jurisdiction as well as a number of other estimates and assumptions. Various items cannot be accurately forecasted and future events may be treated as discrete to the period in which they occur. For these reasons, our worldwide effective tax rate may be materially different than our forecast and fluctuate throughout the year.
Our tax filings are subject to review or audit by the Internal Revenue Service and state, local and foreign taxing authorities. We exercise judgment in determining our worldwide provision for income taxes and, in the ordinary course of our business, there may be transactions and calculations where the ultimate tax determination is uncertain. We are also liable for potential tax liabilities of businesses we acquire. Although we believe our tax estimates are reasonable, we can provide no assurance that any final determination in an audit will not be materially different than the treatment reflected in our historical income tax provisions and accruals. An assessment of additional taxes as a result of an audit could adversely affect our income tax provision and net income in the period or periods for which that determination is made.
We may have to invest more resources in research and development than anticipated, which could increase our operating expenses and negatively affect our operating results.
We devote substantial resources to research and development. New competitors, technological advances in the semiconductor industry or by competitors, our acquisitions, our entry into new markets, or other competitive factors may require us to invest significantly greater resources than we anticipate. If we are required to invest significantly greater resources than anticipated without a
15
Table of Contents
corresponding increase in revenue, our operating results could decline. Additionally, our periodic research and development expenses may be independent of our level of revenue which could negatively impact our financial results. Finally, there can be no guarantee that our research and development investments will result in products that create significant, or even any, revenue.
The global nature of our operations exposes us to increased risks and compliance obligations that may adversely affect our business.
We derive more than half of our revenue from sales outside the United States, and we expect our orders and revenue to continue to depend on sales to customers outside the U.S. In addition, we have expanded our non-U.S. operations significantly in the past several years. This strategy requires us to recruit and retain qualified technical and managerial employees, manage multiple remote locations performing complex software development projects and ensure intellectual property protection outside of the U.S. Our international operations and sales subject us to a number of increased risks, including:
• | Ineffective legal protection of intellectual property rights; |
• | International economic and political conditions, such as political tensions between countries in which we do business; |
• | Difficulties in adapting to cultural differences in the conduct of business; |
• | Financial risks such as longer payment cycles and difficulty in collecting accounts receivable; |
• | Inadequate local infrastructure that could result in business disruptions; |
• | Government trade restrictions, including tariffs or other trade barriers; |
• | Additional taxes and penalties; and |
• | Other factors beyond our control such as natural disasters, terrorism, civil unrest, war and infectious diseases. |
If any of the foreign economies in which we do business deteriorate or if we fail to effectively manage our global operations, our business and results of operations will be harmed.
In addition, our global operations are subject to numerous U.S. and foreign laws and regulations, including those related to anti-corruption, tax, corporate governance, imports and exports, financial and other disclosures, privacy and labor relations. These laws and regulations are complex and may have differing or conflicting legal standards, making compliance difficult and costly. If we violate these laws and regulations we could be subject to fines, penalties or criminal sanctions, and may be prohibited from conducting business in one or more countries. Although we have implemented policies and procedures to ensure compliance with these laws and regulations, there can be no assurance that our employees, contractors or agents will not violate these laws and regulations. Any violation individually or in the aggregate could have a material adverse effect on our operations and financial condition.
Our financial statements are also affected by fluctuations in foreign currency exchange rates. A weakening U.S. dollar relative to other currencies increases expenses of our foreign subsidiaries when they are translated into U.S. dollars in our consolidated statement of operations. Likewise, a strengthening U.S. dollar relative to other currencies, especially the Japanese Yen, reduces revenue of our foreign subsidiaries upon translation and consolidation. Our revenue from Japan has been affected by the devaluation of the Yen in the past year and may continue to fluctuate should the Yen experience significant further volatility. Exchange rates are subject to significant and rapid fluctuations, and therefore we cannot predict the prospective impact of exchange rate fluctuations. Although we engage in foreign currency hedging activity, we may be unable to hedge all of our foreign currency risk, which could have a negative impact on our results of operations.
16
Table of Contents
Liquidity requirements in our U.S. operations may require us to raise cash in uncertain capital markets, which could negatively affect our financial condition.
More than half of our worldwide cash and cash equivalents balance is held by our international subsidiaries in their own accounts outside the United States—approximately 54% as of October 31, 2013. At present, such foreign funds are considered to be indefinitely reinvested abroad to the extent they derive from indefinitely reinvested foreign earnings. We have a credit agreement with several lenders providing for a $150 million term loan facility and a $350 million revolving credit facility, and as of October 31, 2013, we had outstanding debt of $105.0 million under our term loan facility, and no outstanding balance under our revolving credit facility. Should our cash spending needs in the U.S. rise and exceed our existing U.S. balances, available credit under our revolving credit and term loan facilities, and future U.S. cash flows, we may be required to incur additional debt at higher than anticipated interest rates or access other funding sources, which could negatively affect our results of operations, capital structure and the market price of our common stock.
From time to time we are subject to claims that our products infringe on third-party intellectual property rights.
We are from time to time subject to claims alleging our infringement of third-party intellectual property rights, including patent rights. For example, in December 2011, a patent infringement lawsuit was filed against us by Dynetix Design Solutions, Inc., which seeks, among other things, compensatory damages and a permanent injunction. We may also acquire companies that are party to existing infringement claims. For example, EVE, a company we acquired in October 2012, is a party to ongoing patent infringement lawsuits involving Mentor Graphics Corporation. Further information regarding the Dynetix and EVE lawsuits is contained in Part I, Item 3,Legal Proceedings. In addition, under our customer agreements and other license agreements, we agree in many cases to indemnify our customers if our products infringe a third party’s intellectual property rights. Infringement claims can result in costly and time-consuming litigation, require us to enter into royalty arrangements, subject us to damages or injunctions restricting our sale of products, invalidate a patent or family of patents, require us to refund license fees to our customers or to forgo future payments or require us to redesign certain of our products, any one of which could harm our business and operating results.
Product errors or defects could expose us to liability and harm our reputation and we could lose market share.
Software products frequently contain errors or defects, especially when first introduced, when new versions are released or when integrated with technologies developed by acquired companies. Product errors could affect the performance or interoperability of our products, could delay the development or release of new products or new versions of products and could adversely affect market acceptance or perception of our products. In addition, allegations of manufacturability issues resulting from use of our IP products could, even if untrue, adversely affect our reputation and our customers’ willingness to license IP products from us. Any such errors or delays in releasing new products or new versions of products or allegations of unsatisfactory performance could cause us to lose customers, increase our service costs, subject us to liability for damages and divert our resources from other tasks, any one of which could materially and adversely affect our business and operating results.
We may be subject to litigation proceedings that could harm our business.
We may be subject to legal claims or regulatory matters involving stockholder, consumer, employment, competition, and other issues on a global basis. Litigation is subject to inherent uncertainties, and unfavorable rulings could occur. An unfavorable ruling could include monetary damages or, in cases for which injunctive relief is sought, an injunction prohibiting us from manufacturing or selling one or more products. If we were to receive an unfavorable ruling on a matter, our business and results of operations could be materially harmed. Further information regarding
17
Table of Contents
material pending lawsuits, other than ordinary routine litigation incidental to our business, is contained in Part I, Item 3,Legal Proceedings.
If we fail to timely recruit and retain senior management and key employees, our business may be harmed.
We depend in large part upon the services of key members of our senior management team to drive our future success. If we were to lose the services of any member of our senior management team, our business could be adversely affected. To be successful, we must also attract and retain key technical, sales and managerial employees, including those who join Synopsys in connection with acquisitions. There are a limited number of qualified EDA and IC design engineers, and competition for these individuals is intense and has increased. Our employees are often recruited aggressively by our competitors and our customers. Any failure to recruit and retain key technical, sales and managerial employees could harm our business, results of operations and financial condition. Additionally, efforts to recruit and retain qualified employees could be costly and negatively impact our operating expenses.
We issue stock options and restricted stock units and maintain employee stock purchase plans as a key component of our overall compensation. We face pressure to limit the use of such equity-based compensation due to its dilutive effect on stockholders. In addition, we are required under GAAP to recognize compensation expense in our results of operations for employee share-based equity compensation under our equity grants and our employee stock purchase plan, which has increased the pressure to limit equity-based compensation. These factors may make it more difficult for us to grant attractive equity-based packages in the future, which could adversely impact and limit our ability to attract and retain key employees.
Our business is subject to evolving corporate governance and public disclosure regulations that have increased both our compliance costs and the risk of noncompliance, which could have an adverse effect on our stock price.
We are subject to changing rules and regulations promulgated by a number of governmental and self-regulatory organizations, including the SEC, the NASDAQ Stock Market, and the FASB. These rules and regulations continue to evolve in scope and complexity and many new requirements have been created in response to laws enacted by Congress, making compliance more difficult and uncertain. For example, our efforts to comply with the Dodd-Frank Wall Street Reform and Consumer Protection Act and other new regulations have resulted in, and are likely to continue to result in, increased general and administrative expenses and a diversion of management time and attention from revenue-generating activities to compliance activities.
There are inherent limitations on the effectiveness of our controls and compliance programs.
Regardless of how well designed and operated it is, a control system can provide only reasonable assurance that its objectives will be met. Further, the design of a control system must reflect the fact that there are resource constraints, and the benefits of controls must be considered relative to their costs. Because of the inherent limitations in all control systems, no evaluation of controls can provide absolute assurance that all control issues and instances of fraud, if any, have been detected. Moreover, although we have implemented compliance programs and compliance training for employees, such measures may not prevent our employees, contractors or agents from breaching or circumventing our policies or violating applicable laws and regulations. Failure of our control systems and compliance programs to prevent error, fraud or violations of law could have a material adverse impact on our business.
Our investment portfolio may be impaired by the deterioration of capital markets.
Our cash equivalent portfolio currently consists of tax-exempt money market mutual funds, taxable money market mutual funds and bank deposits. In general, our investment portfolio may carry both
18
Table of Contents
interest rate risk and credit risk. We may hold fixed rate debt securities that can have their market value adversely impacted due to a credit downgrade or a rise in interest rates, or floating rate securities that may produce less income than expected if interest rates fall or a credit downgrade occurs. As a result of current adverse financial market conditions, capital pressures on certain banks, especially in Europe, and the continuing low interest rate environment, some of our financial instruments may become impaired. Further, while the U.S. government has raised the federal “debt ceiling” to allow it to borrow funds through February 7, 2014, if the debt ceiling is not raised beyond that date, the U.S. government may default, which could impact the liquidity of our investment funds.
Our future investment income may fall short of expectations due to changes in interest rates or if the decline in fair value of investments held by us is judged to be other-than-temporary.
Security breaches could compromise sensitive information belonging to us or our customers and could harm our business and reputation.
We store sensitive data, including intellectual property, our proprietary business information and that of our customers, and confidential employee information, in our data centers and on our networks. Despite our security measures, our information technology and infrastructure may be vulnerable to attacks by hackers or breached due to employee error, malfeasance or other disruptions that could result in unauthorized disclosure or loss of sensitive information. Because the techniques used to obtain unauthorized access to networks, or to sabotage systems, change frequently and generally are not recognized until launched against a target, we may be unable to anticipate these techniques or to implement adequate preventative measures. Furthermore, in the operation of our business we also use third-party vendors that store certain sensitive data, including confidential information about our employees, and these third parties are subject to their own cybersecurity threats. Any security breach of our own or a third-party vendor’s systems could cause us to be non-compliant with applicable laws or regulations, subject us to legal claims or proceedings, disrupt our operations, damage our reputation, and cause a loss of confidence in our products and services, any of which could adversely affect our business.
In preparing our financial statements we make certain assumptions, judgments and estimates that affect amounts reported in our consolidated financial statements, which, if not accurate, may significantly impact our financial results.
We make assumptions, judgments and estimates for a number of items, including the fair value of financial instruments, goodwill, long-lived assets and other intangible assets, the realizability of deferred tax assets, the recognition of revenue and the fair value of stock awards. We also make assumptions, judgments and estimates in determining the accruals for employee-related liabilities, including commissions and variable compensation, and in determining the accruals for uncertain tax positions, allowances for doubtful accounts, and legal contingencies. These assumptions, judgments and estimates are drawn from historical experience and various other factors that we believe are reasonable under the circumstances as of the date of the consolidated financial statements. Actual results could differ materially from our estimates, and such differences could significantly impact our financial results.
Catastrophic events may disrupt our business and harm our operating results.
Due to the global nature of our business, our operating results may be negatively impacted by catastrophic events throughout the world. We rely on a global network of infrastructure applications, enterprise applications and technology systems for our development, marketing, operational, support and sales activities. A disruption or failure of these systems in the event of a major earthquake, fire, telecommunications failure, cybersecurity attack, terrorist attack, or other catastrophic event could cause system interruptions, delays in our product development and loss of critical data and could prevent us from fulfilling our customers’ orders. Moreover, our corporate headquarters, a significant
19
Table of Contents
portion of our research and development activities, our data centers, and certain other critical business operations are located in California, near major earthquake faults. A catastrophic event that results in the destruction or disruption of our data centers or our critical business or information technology systems would severely affect our ability to conduct normal business operations and, as a result, our operating results would be adversely affected.
None.
Our principal offices are located in four adjacent buildings in Mountain View, California, which together provide approximately 400,000 square feet of available space. This space is leased through February 2015. We also lease approximately 237,000 square feet of space in three separate buildings in Sunnyvale, California, with lease expiration dates ranging from September 2019 to October 2019. We own one building in Sunnyvale, California with approximately 120,000 square feet of space. These buildings in Mountain View and Sunnyvale are used for research and development, sales and support, marketing, and administrative activities.
In addition, in October 2011, we agreed to lease two office buildings to be constructed in Mountain View, California. Once construction is complete, the buildings together will provide approximately 341,000 square feet. The lease of such premises begins upon the later of March 1, 2015 or six months after construction is substantially completed. We may terminate the lease prior to such time if certain conditions occur.
We currently lease 22 other offices throughout the United States, and own 2 office buildings in Oregon, one of which is leased to a tenant. These offices are used primarily for sales and support activities.
International Facilities
We lease additional space for sales, service and research and development activities in approximately 27 countries throughout the world, including 25,000 square feet in Dublin, Ireland for our international headquarters, as well as significant sites in Yerevan, Armenia, Bangalore, India and Shanghai, China. In addition we own two buildings in Hsinchu, Taiwan with approximately 211,000 square feet of combined space.
We believe that our existing facilities, including both owned and leased properties, are in good condition and suitable for the current conduct of our business.
We are subject to routine legal proceedings, as well as demands, claims and threatened litigation that arise in the normal course of our business. The ultimate outcome of any litigation is uncertain and unfavorable outcomes could have a negative impact on our results of operations and financial condition. Regardless of outcome, litigation can have an adverse impact on Synopsys because of the defense costs, diversion of management resources and other factors.
On December 5, 2011, plaintiff Dynetix Design Solutions, Inc. (Dynetix) filed a patent infringement lawsuit against Synopsys in federal district court in the Northern District of California, alleging, among other things, that our VCS functional verification tool, and more specifically our VCS multicore technology, infringed Dynetix’s United States Patent No. 6,466,898. The lawsuit seeks, among other things, compensatory damages and a permanent injunction. Synopsys asserted declaratory relief
20
Table of Contents
counterclaims and patent infringement counterclaims against Dynetix. As a result of certain pre-trial rulings in Synopsys’ favor, the parties stipulated to entry of judgment in Synopsys’ favor on Dynetix’s patent infringement claim and on Synopsys’ counterclaim for a declaration of non-infringement. The court entered the stipulated judgment on September 16, 2013. Dynetix has appealed from the judgment.
We acquired Emulation & Verification Engineering S.A. (EVE) on October 4, 2012. At the time of the acquisition, EVE and EVE-USA, Inc. (collectively, the EVE Parties) were defendants in three patent infringement lawsuits filed by Mentor Graphics Corporation (Mentor). Mentor filed suit against the EVE Parties in federal district court in the District of Oregon on August 16, 2010 alleging that EVE’s ZeBu products infringe Mentor’s United States Patent No. 6,876,962. Mentor filed an additional suit in federal district court in the District of Oregon on August 17, 2012 alleging that EVE’s ZeBu products infringe Mentor’s United States Patent No. 6,947,882. Both cases seek compensatory damages and a permanent injunction. Mentor also filed a patent infringement lawsuit against Nihon EVE K.K. in Tokyo District Court in 2010 alleging that EVE’s ZeBu series of products infringes Mentor’s Japanese Patent No. P3,588,324. This case seeks compensatory damages, a permanent injunction and destruction of inventory.
On September 27, 2012, Synopsys and the EVE Parties filed an action for declaratory relief against Mentor in federal district court in the Northern District of California, seeking a determination that Mentor’s United States Patents Nos. 6,009,531; 5,649,176 and 6,240,376, which were the subject of a patent infringement lawsuit filed by Mentor against EVE in 2006 and settled in the same year, are invalid and not infringed by EVE’s products, and that Mentor is without right or authority to threaten or maintain suit against the plaintiffs on such patents. Mentor has asserted patent infringement counterclaims in this action based on the same three patents and is seeking compensatory damages and a permanent injunction. In April 2013, this action was transferred to the federal district court in Oregon and consolidated with the two Mentor lawsuits in that district (the Oregon Action).
In the Oregon Action, Synopsys and the EVE Parties have further asserted patent infringement counterclaims against Mentor based on Synopsys’ United States Patents Nos. 6,132,109 and 7,069,526, seeking compensatory damages and a permanent injunction.
On September 26, 2012, Synopsys filed two inter partes review requests with the U.S. Patent and Trademark Office (the PTO) challenging the validity of Mentor’s ‘376 and ‘882 patents. The PTO granted review of the ‘376 patent and denied review of the ‘882 patent.
On December 21, 2012, Synopsys filed an action for patent infringement against Mentor in federal district court in the Northern District of California, alleging that Mentor’s Veloce products infringe Synopsys’ United States Patents Nos. 5,748,488, 5,530,841, 5,680,318 and 6,836,420. This case seeks compensatory damages and a permanent injunction.
None.
21
Table of Contents
Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities |
Common Stock Market Price
Our common stock trades on the NASDAQ Global Select Market under the symbol “SNPS.” The following table sets forth for the periods indicated the high and low sale prices of our common stock, as reported by the NASDAQ Global Select Market.
Quarter Ended | ||||||||||||||||
January 31, | April 30, | July 31, | October 31, | |||||||||||||
2013: | ||||||||||||||||
High | $ | 33.92 | $ | 35.88 | $ | 38.03 | $ | 38.40 | ||||||||
Low | $ | 31.27 | $ | 33.72 | $ | 35.12 | $ | 35.52 | ||||||||
2012: | ||||||||||||||||
High | $ | 29.63 | $ | 31.04 | $ | 31.07 | $ | 34.10 | ||||||||
Low | $ | 26.10 | $ | 29.06 | $ | 27.49 | $ | 30.29 |
As of October 31, 2013, we had 368 stockholders of record. To date, we have paid no cash dividends on our capital stock and have no current intention to do so. Our credit facility contains financial covenants requiring us to maintain certain specified levels of cash and cash equivalents. Such provisions could have the effect of preventing us from paying dividends in the future. See Note 5 ofNotes to Consolidated Financial Statementsfor further information regarding our credit facility.
22
Table of Contents
Performance graph
The following graph compares the 5-year total return to stockholders of our common stock relative to the cumulative total returns of the S&P 500 Index, the S&P Information Technology Index and the NASDAQ Composite Index. The graph assumes that $100 was invested in Synopsys common stock on October 31, 2008 (the last trading day before the beginning of our fifth preceding fiscal year) and in each of the indexes on October 31, 2008 (the closest month end) and that all dividends were reinvested. No cash dividends were declared on our common stock during such time. The comparisons in the table are required by the SEC and are not intended to forecast or be indicative of possible future performance of our common stock.
The information presented above in the stock performance graph shall not be deemed to be “soliciting material” or to be “filed” with the SEC or subject to Regulation 14A or 14C, except to the extent that we subsequently specifically request that such information be treated as soliciting material or specifically incorporate it by reference into a filing under the Securities Act or Exchange Act.
23
Table of Contents
Stock Repurchase Program
Our Board of Directors (Board) approved a stock repurchase program in 2002 pursuant to which we were authorized to purchase up to $500.0 million of our common stock, and has periodically replenished the stock repurchase program to such amount. We repurchase shares to offset dilution caused by ongoing stock issuances from existing equity plans for equity compensation awards and issuances related to acquisitions, and when management believes it is a good use of cash. Repurchases are transacted in accordance with Rule 10b-18 of the Securities Exchange Act of 1934 (Exchange Act) and may be made through any means including, but not limited to, open market purchases, plans executed under Rule 10b5-1(c) of the Exchange Act and structured transactions. As of October 31, 2013, $127.4 million remained available for further repurchases under the program. Our Board replenished the stock repurchase program up to $500.0 million on December 3, 2013.
The table below sets forth information regarding our repurchases of our common stock during the three months ended October 31, 2013.
Period | Total number of shares purchased | Average price paid per share | Total number of shares purchased as part of publicly announced programs | Maximum dollar value of shares that may yet be purchased under the programs | ||||||||||||
Month #1 | ||||||||||||||||
August 4, 2013 through September 7, 2013 | 616,320 | $ | 36.6594 | 616,320 | $ | 179,785,692 | ||||||||||
Month #2 | ||||||||||||||||
September 8, 2013 through October 5, 2013 | 1,406,965 | $ | 37.2601 | 1,406,965 | $ | 127,362,049 | ||||||||||
Month #3 | ||||||||||||||||
October 6, 2013 through November 2, 2013 | — | — | — | $ | 127,362,049 | |||||||||||
|
|
|
| |||||||||||||
Total | 2,023,285 | $ | 37.0771 | 2,023,285 | $ | 127,362,049 | ||||||||||
|
|
|
|
See Note 9 ofNotes to Consolidated Financial Statements for further information regarding our stock repurchase program.
Fiscal Year Ended October 31,(1)(2) | ||||||||||||||||||||
2013 | 2012 | 2011 | 2010 | 2009 | ||||||||||||||||
(in thousands, except per share data) | ||||||||||||||||||||
Revenue | $ | 1,962,214 | $ | 1,756,017 | $ | 1,535,643 | $ | 1,380,661 | $ | 1,360,045 | ||||||||||
Income before provisions for income taxes | 275,666 | 201,135 | 219,113 | 198,658 | 233,070 | |||||||||||||||
(Benefit) provision for income taxes(3) | 27,866 | 18,733 | (2,251 | ) | (38,405 | ) | 65,389 | |||||||||||||
Net income | 247,800 | 182,402 | 221,364 | 237,063 | 167,681 | |||||||||||||||
Net income per share: | ||||||||||||||||||||
Basic | 1.62 | 1.24 | 1.51 | 1.60 | 1.17 | |||||||||||||||
Diluted | 1.58 | 1.21 | 1.47 | 1.56 | 1.15 | |||||||||||||||
Working capital | 225,058 | (111,983 | ) | 327,735 | 325,987 | 649,207 | ||||||||||||||
Total assets | 4,358,935 | 4,147,656 | 3,368,844 | 3,286,541 | 2,938,854 | |||||||||||||||
Stockholders’ equity | 2,788,277 | 2,543,971 | 2,101,300 | 2,100,182 | 1,844,166 |
(1) | Our fiscal year generally ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year. Fiscal 2013 was |
24
Table of Contents
a 52-week year and ended on November 2, 2013. Fiscal 2012 was a 53-week year. Fiscal 2011, 2010, and 2009 were 52-week years. |
(2) | Includes results of operations from business combinations from the date of acquisition. See Note 3 ofNotes to Consolidated Financial Statements. |
(3) | Includes $1.1 million, $36.9 million, $32.8 million, and $94.3 million tax benefits from tax settlements received in fiscal years 2013, 2012, 2011, and 2010, respectively. See Note 11 ofNotes to Consolidated Financial Statements. |
Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations |
Overview
The following summary of our financial condition and results of operations is qualified in its entirety by the more complete discussion contained in this Item 7 and by the risk factors set forth in Item 1A of this Annual Report. Please also see the cautionary language at the beginning of Part I of this Annual Report regarding forward-looking statements.
Business Summary
Synopsys is a global leader in providing software, intellectual property and services used to design integrated circuits and electronic systems. We supply the electronic design automation (EDA) software that engineers use to design, create prototypes for and test integrated circuits, also known as chips. We also supply software and hardware used to develop the systems that incorporate integrated circuits and the software that runs on those integrated circuits. Our intellectual property (IP) products are pre-designed circuits that engineers use as components of larger chip designs rather than redesigning those circuits themselves. To complement these product offerings, we provide technical services to support our solutions and we help our customers develop chips and electronic systems.
Our customers are generally semiconductor and electronics systems companies. Our solutions help them overcome the challenge of developing increasingly advanced electronics products while reducing their design and manufacturing costs. While our products are an important part of our customers’ development process, our customers’ research and development budget and spending decisions may be affected by their business outlook and their willingness to invest in new and increasingly complex chip designs.
Despite global economic uncertainty, we have maintained profitability and positive cash flow on an annual basis in recent years. We achieved these results not only because of our solid execution, leading technology and strong customer relationships, but also because of our time-based revenue business model. Under this model, a substantial majority of our customers pay for their licenses over time and we typically recognize this revenue over the life of the contract, which averages approximately three years. Time-based revenue, which consists of time-based license, maintenance and service revenue, generally represents approximately 90% of our total revenue. The revenue we recognize in a particular period generally results from selling efforts in prior periods rather than the current period. Due to our business model, decreases as well as increases in customer spending do not immediately affect our revenues in a significant way.
The global economy continues to exhibit some uncertainty, with 2013 being characterized by gradual, and uneven, economic recovery. Nonetheless, our business outlook remains strong based on continued demand for our products, our business model, strong financials, diligent expense management, and acquisition strategy. In addition, consumer demand for electronics has been solid, particularly the demand for mobile devices. Through our recent acquisitions, we have enhanced our technology and expanded our product portfolio and our total addressable market, especially in IP and system-level solutions, which we believe will help drive revenue growth. We believe that the
25
Table of Contents
combination of our solid financials, leading technology and strong customer relationships will help us to continue to successfully execute our strategies.
Fiscal Year End
Our fiscal year generally ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year.
Fiscal 2013 was a 52-week year ending on November 2, 2013. Fiscal 2012 and fiscal 2011 were 53-week and 52-week years, respectively, ending on November 3, 2012 and October 29, 2011, respectively. The extra week in fiscal 2012 resulted in approximately $26 million of additional revenue, related primarily to time-based licenses, and approximately $16 million of additional expenses.
For presentation purposes, this Form 10-K refers to October 31 as the end of our fiscal year.
Fiscal 2013 Financial Performance Summary
In fiscal 2013, we experienced overall growth in our business, including from our acquisitions, which was reflected in a 36% increase in our net income compared to last year. Compared to fiscal 2012:
• | Total revenue increased by 12% primarily due to our overall growth and prior-year acquisitions. We continued to derive more than 90% of our revenue from time-based revenue. |
• | Total operating expense and cost of revenues increased by 10% primarily due to employee-related costs as a result of higher headcount, principally from our prior-year acquisitions; higher amortization and depreciation expenses; and product costs. |
Critical Accounting Policies and Estimates
Our discussion and analysis of our financial results under the heading “Results of Operations” below are based on our audited results of operations, which we have prepared in accordance with GAAP. In preparing these financial statements, we make assumptions, judgments and estimates that can affect the reported amounts of assets, liabilities, revenues and expenses and net income. On an on-going basis, we evaluate our estimates based on historical experience and various other assumptions we believe are reasonable under the circumstances. Our actual results may differ from these estimates. For further information on our significant accounting policies, see Note 2 ofNotes to Consolidated Financial Statements.
The accounting policies that most frequently require us to make assumptions, judgments and estimates, and therefore are critical to understanding our results of operations, are:
• | Revenue recognition; |
• | Valuation of stock compensation; |
• | Valuation of intangible assets; and |
• | Income taxes. |
Revenue Recognition
Software license revenue consists of fees associated with the licensing of our software. Maintenance and service revenue consists of maintenance fees associated with perpetual and term licenses and professional services fees. Hardware revenue consists of FPGA-based emulation and prototyping products.
26
Table of Contents
With respect to software licenses, we utilize three license types:
• | Technology Subscription Licenses (TSLs). TSLs are time-based licenses for a finite term, and generally provide the customer limited rights to receive, or to exchange certain quantities of licensed software for, unspecified future technology. We bundle and do not charge separately for post-contract customer support (maintenance) for the term of the license. |
• | Term licenses. Term licenses are also for a finite term, but do not provide the customer any rights to receive, or to exchange licensed software for, unspecified future technology. Customers purchase maintenance separately for the first year and may renew annually for the balance of the term. The annual maintenance fee is typically calculated as a percentage of the net license fee. |
• | Perpetual licenses. Perpetual licenses continue as long as the customer renews maintenance plus an additional 20 years. Perpetual licenses do not provide the customer any rights to receive, or to exchange licensed software for, unspecified future technology. Customers purchase maintenance separately for the first year and may renew annually. |
For the three software license types, we recognize revenue as follows:
• | TSLs. We typically recognize revenue from TSL fees (which include bundled maintenance) ratably over the term of the license period, or as customer installments become due and payable, whichever is later. Revenue attributable to TSLs is reported as “time-based license revenue” in the consolidated statements of operations. |
• | Term licenses. We recognize revenue from term licenses in full upon shipment of the software if payment terms require the customer to pay at least 75% of the license fee and 100% of the maintenance fee within one year from shipment and all other revenue recognition criteria are met. Revenue attributable to these term licenses is reported as “upfront license revenue” in the consolidated statements of operations. For term licenses in which less than 75% of the license fee and 100% of the maintenance fee is payable within one year from shipment, we recognize revenue as customer payments become due and payable. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. |
• | Perpetual licenses. We recognize revenue from perpetual licenses in full upon shipment of the software if payment terms require the customer to pay at least 75% of the license fee and 100% of the maintenance fee within one year from shipment and all other revenue recognition criteria are met. Revenue attributable to these perpetual licenses is reported as “upfront license revenue” in the consolidated statements of operations. For perpetual licenses in which less than 75% of the license fee and 100% of the maintenance fee is payable within one year from shipment, we recognize revenue as customer installments become due and payable. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. |
We also enter into arrangements in which portions of revenue are contingent upon the occurrence of uncertain future events, for example, royalty arrangements. We refer to this revenue as “contingent revenue.” Contingent revenue is recognized if and when the applicable event occurs. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. These arrangements are not material to our total revenue.
We recognize revenue from sales of hardware and perpetual licenses to IP and system-level products in full upon shipment if all other revenue recognition criteria are met. Revenue attributable to these sales is reported as “upfront license revenue” in the consolidated statements of operations and is not material to our total revenue.
We infrequently enter into multiple-element arrangements that contain both software and non-software deliverables such as hardware. We have determined that the software and non-software
27
Table of Contents
deliverables in our contracts are separate units of accounting. We recognize revenue for the separate units of accounting when all revenue recognition criteria are met. Revenue allocated to hardware units of accounting is recognized upon shipment when all other revenue recognition criteria are met. Revenue allocated to software units of accounting is recognized depending on the software license type (TSL, term license or perpetual license). Such arrangements have not had a material effect on our consolidated financial statements and are not expected to have a material effect in future periods.
We recognize revenue from maintenance fees ratably over the maintenance period to the extent cash has been received or fees become due and payable, and recognize revenue from professional services and training fees as such services are performed and accepted by the customer. Revenue attributable to maintenance, professional services and training is reported as “maintenance and service revenue” in the consolidated statements of operations.
We also enter into arrangements to deliver software products, either alone or together with other products or services that require significant modification, or customization of the software. We account for such arrangements using the percentage of completion method as we have the ability to make reasonably dependable estimates that relate to the extent of progress toward completion, contract revenues and costs. We measure the progress towards completion using the labor hours incurred to complete the project. Revenue attributable to these arrangements is reported as maintenance and service revenue in the consolidated statements of operations.
We determine the fair value of each element in multiple element software arrangements that only contain software and software related deliverables based on vendor-specific objective evidence (VSOE). We limit our assessment of VSOE of fair value for each element to the price charged when such element is sold separately. We have analyzed all of the elements included in our multiple-element software arrangements and have determined that we have sufficient VSOE to allocate revenue to the maintenance components of our perpetual and term license products and to professional services. Accordingly, assuming all other revenue recognition criteria are met, we recognize license revenue from perpetual and term licenses upon delivery using the residual method, recognize revenue from maintenance ratably over the maintenance term, and recognize revenue from professional services as services are performed and accepted by the customer. We recognize revenue from TSLs ratably over the term of the license, assuming all other revenue recognition criteria are met, since there is not sufficient VSOE to allocate the TSL fee between license and maintenance services.
We make significant judgments related to revenue recognition. Specifically, in connection with each transaction involving our products, we must evaluate whether: (1) persuasive evidence of an arrangement exists, (2) delivery of software or services has occurred, (3) the fee for such software or services is fixed or determinable, and (4) collectability of the full license or service fee is probable. All four of these criteria must be met in order for us to recognize revenue with respect to a particular arrangement. We apply these revenue recognition criteria as follows:
• | Persuasive Evidence of an Arrangement Exists. Prior to recognizing revenue on an arrangement, our customary policy is to have a written contract, signed by both the customer and by us or a purchase order from those customers that have previously negotiated a standard end-user license arrangement or purchase agreement. |
• | Delivery Has Occurred. We deliver our products to our customers electronically or physically. For electronic deliveries, delivery occurs when we provide access to our customers to take immediate possession of the software through downloading it to the customer’s hardware. For physical deliveries, the standard transfer terms are typically Freight on Board (FOB) shipping point. We generally ship our products or license keys promptly after acceptance of customer orders. However, a number of factors can affect the timing of product shipments and, as a result, timing of revenue recognition, including the delivery dates requested by customers and our operational capacity to fulfill product orders at the end of a fiscal quarter. |
28
Table of Contents
• | The Fee is Fixed or Determinable. Our determination that an arrangement fee is fixed or determinable depends principally on the arrangement’s payment terms. Our standard payment terms for perpetual and term licenses require 75% or more of the license fee and 100% of the maintenance fee to be paid within one year. If the arrangement includes these terms, we regard the fee as fixed or determinable, and recognize all license revenue under the arrangement in full upon delivery (assuming all other revenue recognition criteria are met). If the arrangement does not include these terms, we do not consider the fee to be fixed or determinable and generally recognize revenue when customer installments are due and payable. In the case of a TSL, because of the right to exchange products or receive unspecified future technology and because VSOE for maintenance services does not exist for a TSL, we recognize revenue ratably over the term of the license, but not in advance of when customers’ installments become due and payable. |
• | Collectability is Probable. We judge collectability of the arrangement fees on a customer-by-customer basis pursuant to our credit review policy. We typically sell to customers with whom we have a history of successful collection. For a new customer, or when an existing customer substantially expands its commitments, we evaluate the customer’s financial position and ability to pay and typically assign a credit limit based on that review. We increase the credit limit only after we have established a successful collection history with the customer. If we determine at any time that collectability is not probable under a particular arrangement based upon our credit review process or the customer’s payment history, we recognize revenue under that arrangement as customer payments are actually received. |
Valuation of Stock Compensation
Stock compensation expense is measured on the grant date based on the fair value of the award and is recognized as expense over the vesting period in accordance with ASC 718,Stock Compensation. We use the Black-Scholes option-pricing model to determine the fair value of stock options and employee stock purchase plan awards. The Black-Scholes option-pricing model incorporates various subjective assumptions including expected volatility, expected term and risk-free interest rates. We estimate the expected volatility by a combination of implied volatility for publicly traded options of our stock with a term of six months or longer and the historical stock price volatility over the estimated expected term of our stock awards. We determine the expected term of our stock awards based on historical experience. In addition, judgment is required in estimating the forfeiture rate on stock awards. We calculate the expected forfeiture rate based on average historical trends. These input factors are subjective and are determined using management’s judgment. If a difference arises between the assumptions used in determining stock compensation cost and the actual factors which become known over time, we may change the input factors used in determining future stock compensation costs. Any such changes could materially impact our results of operations in the period in which the changes are made and in periods thereafter.
Valuation of Intangible Assets
We evaluate our intangible assets for indications of impairment whenever events or changes in circumstances indicate that the carrying value may not be recoverable. Intangible assets consist of purchased technology, contract rights intangibles, customer-relationships, trademarks and trade names, covenants not to compete, capitalized software development, and in-process research and development. Factors that could trigger an impairment review include significant under-performance relative to expected historical or projected future operating results, significant changes in the manner of our use of the acquired assets or the strategy for our overall business or significant negative industry or economic trends. If this evaluation indicates that the value of the intangible asset may be impaired, we make an assessment of the recoverability of the net carrying value of the asset over its remaining useful life. If this assessment indicates that the intangible asset is not recoverable, based on the estimated undiscounted future cash flows of the technology over the remaining useful life, we reduce
29
Table of Contents
the net carrying value of the related intangible asset to fair value. Any such impairment charge could be significant and could have a material adverse effect on our reported financial results. We did not record any impairment charges on our intangible assets during fiscal 2013, 2012 or 2011.
Income Taxes
Our tax provisions are calculated using estimates in accordance with ASC 740, Income Taxes. Our estimates and assumptions may differ from the actual results as reflected in our income tax returns and we record the required adjustments when they are identified or resolved.
We recognize deferred tax assets and liabilities for the temporary differences between the book and tax bases of assets and liabilities using enacted tax rates in effect for the year in which we expect the differences to reverse, and for tax loss and credit carryovers. We record a valuation allowance to reduce the deferred tax assets to the amount that is more likely than not to be realized. In evaluating our ability to utilize our deferred tax assets, we consider all available positive and negative evidence, including our past operating results, our forecast of future taxable income on a jurisdiction by jurisdiction basis, as well as feasible and prudent tax planning strategies. These assumptions require significant judgment about the forecasts of future taxable income and are consistent with the plans and estimates we are using to manage the underlying businesses. We believe that the net deferred tax assets of approximately $307.2 million that are recorded on our balance sheet as of October 31, 2013 will ultimately be realized. However, if we determine in the future that it is more likely than not we will not be able to realize a portion or the full amount of deferred tax assets, we would record an adjustment to the deferred tax asset valuation allowance as a charge to earnings in the period such determination is made.
We apply a two-step approach to recognizing and measuring uncertain tax positions. The first step is to evaluate the tax position for recognition by determining whether it is more likely than not that the position will be sustained on audit, including resolution of related appeals or litigation processes, if any. The second step is to measure the tax benefit as the largest amount which is more than 50% likely of being realized upon ultimate settlement.
The calculation of tax liabilities involves the inherent uncertainty associated with the application of complex tax laws. We are also subject to examination by various taxing authorities. We believe we have adequately provided in our financial statements for potential additional taxes. If we ultimately determine that these amounts are not owed, we would reverse the liability and recognize the tax benefit in the period in which we determine that the liability is no longer necessary. If an ultimate tax assessment exceeds our estimate of tax liabilities, we would record an additional charge to earnings.
Results of Operations
Revenue Background
We generate our revenue from the sale of software licenses, maintenance and professional services and to a small extent, hardware products. Under current accounting rules and policies, we recognize revenue from orders we receive for software licenses, services and hardware products at varying times. In most instances, we recognize revenue on a TSL software license order over the license term and on a term or perpetual software license order in the quarter in which the license is delivered. The average license term of the TSLs and term licenses we entered into in fiscal 2013, 2012, and 2011 was 3.6 years, 2.7 years and 2.8 years, respectively. Revenue on contracts requiring significant modification or development is accounted for using the percentage of completion method over the period of the development. Revenue on hardware product orders is generally recognized in full at the time the product is shipped. Contingent revenue is recognized if and when the applicable event occurs.
30
Table of Contents
Revenue on maintenance orders is recognized ratably over the maintenance period (normally one year). Revenue on professional services orders is generally recognized after services are performed and accepted by the customer.
Our revenue in any period is equal to the sum of our time-based license, upfront license, maintenance and professional services for the period. We derive time-based license revenue largely from TSL orders received and delivered in prior quarters and to a smaller extent due to contracts in which revenue is recognized as customer installments become due and payable and from contingent revenue arrangements. We derive upfront license revenue directly from term and perpetual license and hardware product orders mostly booked and shipped during the period. We derive maintenance revenue largely from maintenance orders received in prior periods since our maintenance orders generally yield revenue ratably over a term of one year. We also derive professional services revenue primarily from orders received in prior quarters, since we recognize revenue from professional services as those services are delivered and accepted or on percentage of completion for arrangements requiring significant modification of our software, and not when they are booked. Our license revenue is sensitive to the mix of TSLs and perpetual or term licenses delivered during a reporting period. A TSL order typically yields lower current quarter revenue but contributes to revenue in future periods. For example, a $120,000 order for a three-year TSL delivered on the last day of a quarter typically generates no revenue in that quarter, but $10,000 in each of the twelve succeeding quarters. Conversely, a $120,000 order for perpetual and term licenses with greater than 75% of the license fee due within one year from shipment typically generates $120,000 in revenue in the quarter the product is delivered, but no future revenue. Additionally, revenue in a particular quarter may also be impacted by perpetual and term licenses in which less than 75% of the license fees and 100% of the maintenance fees are payable within one year from shipment as the related revenue will be recognized as revenue in the period when customer payments become due and payable.
Our customer arrangements are complex, involving hundreds of products and various license rights, and our customers bargain with us over many aspects of these arrangements. For example, they often demand a broader portfolio of solutions, support and services and seek more favorable terms such as expanded license usage, future purchase rights and other unique rights at an overall lower total cost. No single factor typically drives our customers’ buying decisions, and we compete on all fronts to serve customers in a highly competitive EDA market. Customers generally negotiate the total value of the arrangement rather than just unit pricing or volumes.
Total Revenue
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||
$1,962.2 | $ | 1,756.0 | $ | 1,535.6 | $ | 206.2 | 12 | % | $ | 220.4 | 14 | % |
The overall growth of our business has been the primary driver of the increase in our revenue. Our revenues are subject to fluctuations, primarily due to customer requirements, including payment terms and the timing and value of contract renewals.
The sequential increase in total revenue from fiscal 2011 through fiscal 2013 was due to our organic growth and revenues from acquired companies. The increases were primarily in time-based license revenue. Fiscal 2012 had approximately $26 million of additional revenue due to the additional week in that fiscal year.
31
Table of Contents
Time-Based License Revenue
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
$ | 1,599.5 | $ | 1,449.3 | $ | 1,260.3 | $ | 150.2 | 10 | % | $ | 189.0 | 15 | % | |||||||||||||||
Percentage of total revenue | 82 | % | 83 | % | 82 | % |
The increase in time-based license revenue for fiscal 2013 compared to fiscal 2012 was primarily attributable to increases in TSL license revenue from arrangements booked in prior periods, including those from acquisitions in fiscal 2012 and particularly in the fourth quarter of fiscal 2012, and to a lesser extent, due to other time-based arrangements. The increase was partially offset by the impact of the additional week in fiscal 2012.
The increase in time-based license revenue for fiscal 2012 compared to fiscal 2011 was primarily attributable to increases in TSL license revenue from arrangements booked in prior periods and from the contracts acquired through fiscal 2012 acquisitions and, to a lesser extent, due to higher contingent revenue, product sales, the impact of the additional week in fiscal 2012 and other time-based arrangements.
Upfront License Revenue
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
$ | 132.0 | $ | 105.1 | $ | 90.5 | $ | 26.9 | 26 | % | $ | 14.6 | 16 | % | |||||||||||||||
Percentage of total revenue | 7 | % | 6 | % | 6 | % |
Changes in upfront license revenue are generally attributable to normal fluctuations in customer requirements, which can drive the amount of upfront orders and revenue in any particular period.
The increase in upfront license revenue for fiscal 2013 compared to fiscal 2012 was primarily attributable to the increase in sales of our hardware products and perpetual licenses to IP and system-level products, including those derived from our acquisitions in the fourth quarter of fiscal 2012.
The increase in upfront license revenue for fiscal 2012 compared to fiscal 2011 was primarily attributable to the increase in sales of our hardware products and perpetual licenses to IP and system-level products.
As our sales of hardware and perpetual licenses to IP and system-level products continue to grow, we expect upfront license revenue to increase as a percentage of total revenue, but remain consistent with our business model in which approximately 90% of our total revenue consists of time-based revenue.
Maintenance and Service Revenue
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
Maintenance revenue | $ | 79.2 | $ | 74.6 | $ | 77.6 | $ | 4.6 | 6 | % | $ | (3.0 | ) | (4 | )% | |||||||||||||
Professional service and other revenue | 151.5 | 127.0 | 107.2 | 24.5 | 19 | % | 19.8 | 18 | % | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total | $ | 230.7 | $ | 201.6 | $ | 184.8 | $ | 29.1 | 14 | % | $ | 16.8 | 9 | % | ||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Percentage of total revenue | 12 | % | 11 | % | 12 | % |
32
Table of Contents
Changes in maintenance revenue are generally attributable to the timing of renewals and the type of contracts renewed with the associated maintenance. Maintenance revenue was relatively flat during fiscal 2013, 2012 and 2011.
The sequential increase in professional services and other revenue from fiscal 2011 through fiscal 2013 was primarily due to an increase in IP consulting services.
Cost of Revenue and Operating Expenses
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
Cost of revenue | $ | 453.6 | $ | 392.7 | $ | 340.5 | $ | 60.8 | 15 | % | $ | 52.2 | 15 | % | ||||||||||||||
Operating expenses | 1,262.2 | 1,173.3 | 982.4 | 88.9 | 8 | % | 190.9 | 19 | % | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total | $ | 1,715.8 | $ | 1,566.0 | $ | 1,322.9 | $ | 149.7 | 10 | % | $ | 243.1 | 18 | % | ||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total expenses as a percentage of total revenue | 87 | % | 89 | % | 86 | % |
Our expenses are generally impacted by changes in personnel-related costs including salaries, benefits, stock compensation and variable compensation, changes in amortization and changes in selling and marketing expenses. The increase in our expenses compared to prior fiscal years was primarily due to an increase in personnel-related costs, driven by increased headcount from our acquisitions and related fixed charges including facilities, amortization of intangible assets, and depreciation. The increases in our expenses were partially offset by the extra week of expenses in fiscal 2012 of $16 million. We allocate certain human resource programs, information technology and facility expenses among our functional income statement categories based on headcount within each functional area. Annually, or upon a significant change in headcount (such as a workforce reduction, realignment or acquisition) or other factors, management reviews the allocation methodology and expenses included in the allocation pool. Consequently, fluctuations in these drivers impact the amounts allocated to each functional area.
Foreign currency fluctuations, net of hedging, did not have a significant impact on expenses during fiscal 2013 as compared to fiscal 2012, or fiscal 2012 as compared to fiscal 2011. See Note 5 ofNotes to Consolidated Financial Statements for details on our foreign exchange hedging programs.
Cost of Revenue
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
Cost of license revenue | $ | 268.9 | $ | 232.8 | $ | 205.5 | $ | 36.1 | 16 | % | $ | 27.3 | 13 | % | ||||||||||||||
Cost of maintenance and service revenue | 80.4 | 78.6 | 80.2 | 1.8 | 2 | % | (1.6 | ) | (2 | )% | ||||||||||||||||||
Amortization of intangible assets | 104.3 | 81.3 | 54.8 | 23.0 | 28 | % | 26.5 | 48 | % | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total | $ | 453.6 | $ | 392.7 | $ | 340.5 | $ | 60.9 | 16 | % | $ | 52.2 | 15 | % | ||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Percentage of total revenue | 23 | % | 22 | % | 22 | % |
We divide cost of revenue into three categories: cost of license revenue, cost of maintenance and service revenue, and amortization of intangible assets. We segregate expenses directly associated with consulting and training services from cost of license revenue associated with internal functions providing license delivery and post-customer contract support services. We then allocate these group
33
Table of Contents
costs between cost of license revenue and cost of maintenance and service revenue based on license and maintenance and service revenue reported.
Cost of license revenue.Cost of license revenue includes costs related to products sold and software licensed, allocated operating costs related to product support and distribution costs, royalties paid to third party vendors, and the amortization of capitalized research and development costs associated with software products which have reached technological feasibility.
Cost of maintenance and service revenue.Cost of maintenance and service revenue includes operating costs related to maintaining the infrastructure necessary to operate our services and training organization, and costs associated with the delivery of our consulting services, such as, hotline and on-site support, production services and documentation of maintenance updates.
Amortization of intangible assets. Amortization of intangible assets, which is recorded to cost of revenue and operating expenses, includes the amortization of certain contract rights and the amortization of core/developed technology, trademarks, trade names, customer relationships, and covenants not to compete related to acquisitions.
Cost of revenue increased by $60.9 million or 16% in fiscal 2013 compared to fiscal 2012 due to increases of $23.0 million in amortization of intangible assets and $17.7 million in personnel-related costs, primarily as a result of acquisitions in fiscal 2012, particularly in the fourth quarter of fiscal 2012, and $25.9 million in product costs primarily due to product sales. The increase in cost of revenue was partially offset by an additional week of expenses of approximately $2.2 million in fiscal 2012 and a $1.3 million reduction in acquisition-related costs compared to fiscal 2012.
Cost of revenue increased by $52.2 million or 15% in fiscal 2012 compared to fiscal 2011. The increase was primarily due to increases of $26.5 million in amortization of intangible assets, $19.0 million in personnel-related costs as a result of fiscal 2012 acquisitions and the impact of one extra week of costs of approximately $2.2 million in fiscal 2012.
Operating Expenses
Research and Development
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
$ | 669.2 | $ | 581.6 | $ | 491.9 | $ | 87.6 | 15 | % | $ | 89.7 | 18 | % | |||||||||||||||
Percentage of total revenue | 34 | % | 33 | % | 32 | % |
The increase in research and development expense in fiscal 2013 compared to fiscal 2012 was primarily due to increases of $76.1 million in personnel-related costs and $11.0 million in functionally allocated expenses, as a result of headcount increases primarily from our acquisitions in fiscal 2012, particularly in the fourth quarter of fiscal 2012, and $8.6 million in consulting costs. The increase in research and development expenses was partially offset by an additional week of expenses of approximately $7.5 million in fiscal 2012 and a $6.3 million reduction in acquisition-related costs compared to fiscal 2012.
The increase in research and development expense in fiscal 2012 compared to fiscal 2011 was primarily due to increases of $61.0 million in personnel-related costs as a result of headcount increases primarily from our acquisitions, $11.1 million in functionally allocated expenses as a result of headcount increases from our current year acquisitions, $7.9 million in costs related to acquisitions, and one extra week of costs of approximately $7.5 million in fiscal 2012 compared with fiscal 2011.
34
Table of Contents
Sales and Marketing
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
$ | 426.0 | $ | 415.6 | $ | 363.1 | $ | 10.4 | 3 | % | $ | 52.5 | 14 | % | |||||||||||||||
Percentage of total revenue | 22 | % | 24 | % | 24 | % |
Changes in commissions and other variable compensation are generally attributable to the volume of contracts and timing of shipments based on contract requirements.
The increase in sales and marketing expense for fiscal 2013 compared with fiscal 2012 was due to increases in personnel-related costs of $15.9 million primarily driven by headcount increases, $2.0 million in marketing activities, and $1.4 million in maintenance. The increase in sales and marketing expenses was partially offset by an additional week of expenses of approximately $4.9 million in fiscal 2012 and a $5.2 million reduction in acquisition-related costs compared to fiscal 2012.
The increase in sales and marketing expense for fiscal 2012 compared with fiscal 2011 was due to increases in personnel-related costs of $25.6 million primarily driven by headcount increases from our acquisitions, $11.2 million in variable compensation due to higher shipments, $8.9 million in costs related to acquisitions, and one extra week of costs of approximately $4.9 million in fiscal 2012 compared with fiscal 2011.
General and Administrative
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
$ | 143.8 | $ | 157.5 | $ | 112.8 | ($ | 13.7 | ) | (9 | )% | $ | 44.7 | 40 | % | ||||||||||||||
Percentage of total revenue | 7 | % | 9 | % | 7 | % |
The decrease in general and administrative expenses was due to a decrease in acquisition-related costs of $25.4 million compared to fiscal 2012 and higher allocation of $7.7 million in expenses to other functions (cost of revenue, research and development, and sales and marketing) in fiscal 2013 as headcount growth in those functions, primarily driven by acquisitions, resulted in an increase in the pool of expenses to be allocated. The decrease in general and administrative expense was partially offset by increases of $15.2 million in professional service costs, $5.4 million in facilities and depreciation expenses, primarily due to our acquisitions, and $2.2 million in personnel-related costs as a result of headcount increases primarily from our acquisitions.
The increase in general and administrative expense for fiscal 2012 compared with fiscal 2011 was primarily due to increases of $15.9 million in personnel-related costs as a result of headcount increases primarily from our acquisitions, $24.5 million in costs related to acquisitions, $6.8 million in facility expenses, $5.8 million in depreciation and maintenance expenses and one extra week of costs of approximately $1.6 million in fiscal 2012 compared with fiscal 2011. The increases were partially offset by a higher allocation of $18.0 million in expenses to other functions in fiscal 2012 compared to fiscal 2011, as a result of increased expenses in the allocation pool.
Change in Fair Value of Deferred Compensation
The income or loss arising from the change in fair value of our non-qualified deferred compensation plan obligation is recorded in cost of sales and each functional operating expense, with the offsetting change in the fair value of the related assets recorded in other income (expense), net. These assets are classified as trading securities. There is no overall impact to our net income from the income or loss of our deferred compensation plan obligation and asset.
35
Table of Contents
Acquired In-Process Research and Development
In-process research and development (IPR&D) costs relate to in-process technologies acquired in acquisitions. The value assigned to IPR&D is determined by considering the importance of each project to our overall development plan, estimating costs to develop the IPR&D into commercially viable products, estimating the resulting net cash flows from such projects when completed and discounting the net cash flows back to their present value. The utilized discount rate is our weighted average cost of capital, taking into account the inherent uncertainties in future revenue estimates and the profitability of such technology, the successful development of the IPR&D, its useful life and the uncertainty of technological advances, all of which are unknown at the time of determination.
Upon completion of development, the underlying intangible asset is amortized over its estimated useful life and recorded in cost of revenue. IPR&D projects acquired are anticipated to be completed over a period of one to three years from the date of the acquisition. See Note 4 ofNotes to Consolidated Financial Statements.
Amortization of Intangible Assets
Amortization of intangible assets includes the amortization of contract rights and the amortization of core/developed technology, trademarks, trade names, customer relationships, covenants not to compete, and in-process research and development related to acquisitions completed in prior years. Amortization expense is included in the consolidated statements of operations as follows:
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
Included in cost of revenue | $ | 104.3 | $ | 81.3 | $ | 54.8 | $ | 23.0 | 28 | % | $ | 26.5 | 48 | % | ||||||||||||||
Included in operating expenses | 23.2 | 18.6 | 14.6 | 4.6 | 25 | % | 4.0 | 27 | % | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total | $ | 127.5 | $ | 99.9 | $ | 69.4 | $ | 27.6 | 28 | % | $ | 30.5 | 44 | % | ||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Percentage of total revenue | 6 | % | 6 | % | 5 | % |
Amortization of capitalized software development costs is not presented in the above table and is included in cost of license revenue in the consolidated statements of operations.
The increases in amortization of intangible assets from fiscal 2011 through 2013 were primarily due to the amortization of intangible assets from acquisitions partially offset by certain intangible assets becoming fully amortized. See Note 4 ofNotes to Consolidated Financial Statements for a schedule of future amortization amounts, which is incorporated by reference here.
Impairment of Intangible Assets.We did not record any impairment charges to our intangible assets during fiscal 2013, 2012, or 2011.
Other Income (Expense), Net
Year Ended October 31, | $ Change | % Change | $ Change | % Change | ||||||||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||||||||||
(dollars in millions) | ||||||||||||||||||||||||||||
Interest income | $ | 1.9 | $ | 1.6 | $ | 2.1 | $ | 0.3 | 19 | % | $ | (0.5 | ) | (24 | )% | |||||||||||||
Interest expense | (1.7 | ) | (2.0 | ) | (0.1 | ) | 0.3 | (15 | )% | (1.9 | ) | 1,900 | % | |||||||||||||||
Gain (loss) on assets related to executive deferred compensation plan | 18.5 | 7.5 | 2.4 | 11.0 | 147 | % | 5.1 | 213 | % | |||||||||||||||||||
Foreign currency exchange gain (loss) | 6.0 | 1.7 | 1.7 | 4.3 | 253 | % | 0.0 | 0 | % | |||||||||||||||||||
Other, net | 4.5 | 2.3 | 0.2 | 2.2 | 96 | % | 2.1 | 1,050 | % | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Total | $ | 29.2 | $ | 11.1 | $ | 6.3 | $ | 18.1 | 163 | % | $ | 4.8 | 76 | % | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
36
Table of Contents
The net increase in other income (expense) in fiscal 2013 as compared to fiscal 2012 was primarily due to an increase in market value of the assets related to our deferred compensation plan, and an increase in gains in our foreign currency contracts, partially offset by lower interest expense due to a decrease in principal payment on a term loan.
The net increase in other income (expense) in fiscal 2012 as compared to fiscal 2011 was primarily due to an increase in gain on assets related to our deferred compensation plan, and an increase in premiums on foreign currency contracts included in other income (expense), net, partially offset by higher interest expense due to a term loan that we entered into in fiscal 2012.
Income Taxes
Our effective tax rate for fiscal 2013 included tax benefits from the reinstatement of the U.S. federal research tax credit of approximately $19.0 million, reversal of deferred taxes resulting from the merger of a foreign affiliate of $6.8 million, and settlement with the Internal Revenue Service (IRS) of certain issues related to fiscal 2012 of $1.1 million. The reinstatement of the research tax credit resulted in an additional tax credit for ten months of fiscal 2012 as well as a full year credit for fiscal 2013, compared to only two months of credit in fiscal 2012 as a result of the expiration of the credit on December 31, 2011. Our effective tax rate for fiscal 2012 included tax benefits from settlements with the IRS of $15.9 million (for fiscal years 2010 through 2011), with Taiwan tax authorities of $14.7 million (net tax benefit resulting from the 2008 settlement being applied to other open fiscal years), and with Hungarian tax authorities of $6.3 million (for fiscal years 2006 through 2010). For further discussion of the provision for income taxes and settlements, see Note 11 of theNotes to Consolidated Financial Statements.
Liquidity and Capital Resources
Our sources of cash and cash equivalents are funds generated from our business operations and funds that may be drawn down under our credit facility.
As of October 31, 2013, we held an aggregate of $468.4 million in cash and cash equivalents in the United States and an aggregate of $554.0 million in our foreign subsidiaries. Funds held in our foreign subsidiaries are generated from revenue outside North America. At present, such foreign funds are considered to be indefinitely reinvested in foreign countries to the extent of indefinitely reinvested foreign earnings. In the event funds from foreign operations are needed to fund cash needs in the United States and if U.S. taxes have not already been previously accrued, we would be required to accrue and pay additional U.S. taxes in order to repatriate these funds.
The following sections discuss changes in our balance sheet and cash flows, and other commitments on our liquidity and capital resources during fiscal 2013.
Cash and cash equivalents
Year Ended October 31, | $ Change | % Change | ||||||||||||||
2013 | 2012 | |||||||||||||||
(dollars in millions) | ||||||||||||||||
Cash and cash equivalents | $ | 1,022.4 | $ | 700.4 | $ | 322.0 | 46 | % |
Our cash generated from operating activities was $496.7 million in fiscal 2013.
Other cash activities were (1) share repurchases of $145.0 million offset by proceeds from employee stock plans of $131.9 million, (2) purchases of property and equipment of $65.5 million offset by proceeds from sale of property and equipment of $2.0 million, (3) acquisition of the non-controlling interest in SpringSoft, Inc. for $44.0 million, and (4) repayment of a term loan of $30.0 million.
37
Table of Contents
Cash flows
Year Ended October 31, | $ Change | $ Change | ||||||||||||||||||
2013 | 2012 | 2011 | 2012 to 2013 | 2011 to 2012 | ||||||||||||||||
(dollars in millions) | ||||||||||||||||||||
Cash provided by operating activities | $ | 496.7 | $ | 486.1 | $ | 440.3 | $ | 10.6 | $ | 45.8 | ||||||||||
Cash (used in) investing activities | (66.1 | ) | (879.1 | ) | (88.8 | ) | 813.0 | (790.3 | ) | |||||||||||
Cash (used in) provided by financing activities | (98.0 | ) | 243.5 | (277.6 | ) | (341.5 | ) | 521.1 |
Cash provided by operating activities
We expect cash from our operating activities to fluctuate in future periods as a result of a number of factors, including the timing of our billings and collections, our operating results, the timing and amount of tax and other liability payments. Cash provided by our operations is dependent primarily upon the payment terms of our license agreements. We generally receive cash from upfront license revenue much sooner than from time-based license revenue, in which the license fee is typically paid either quarterly or annually over the term of the license.
Fiscal 2012 to fiscal 2013.Cash provided by operating activities increased slightly due to an increase in collections from customers and a decrease in tax payments, offset partly by higher disbursements to vendors and higher personnel-related costs due to increased headcount.
Fiscal 2011 to fiscal 2012.Cash provided by operating activities increased slightly due to an increase in collections from customers, offset partly by higher disbursements to vendors.
Cash used in investing activities
Fiscal 2012 to fiscal 2013.The decrease in cash used in investing activities was primarily due to the decrease in acquisition activities in fiscal 2013.
Fiscal 2011 to fiscal 2012.The increase in cash used in investing activities was primarily due to cash used for acquisitions in fiscal 2012, partly offset by net proceeds from the purchase and sale of investments.
Cash provided by (used in) financing activities
Fiscal 2012 to fiscal 2013.The decrease in cash provided by financing activities was primarily due to increased common stock repurchases under our stock repurchase program, proceeds from credit facilities in fiscal 2012 that did not recur in the current period and less proceeds from issuances of common stock.
Fiscal 2011 to fiscal 2012.The increase in cash provided by financing activities primarily relates to net proceeds from credit facilities obtained primarily to finance our acquisitions and less common stock repurchases under our stock repurchase program.
Accounts Receivable, net
Year Ended October 31, | ||||||
2013 | 2012 | $ Change | % Change | |||
(dollars in millions) | ||||||
$256.0 | $292.7 | $(36.7) | (13)% |
Our accounts receivable and days sales outstanding (DSO) are primarily driven by our billing and collections activities. Our DSO was 46 days at October 31, 2013 and 59 days at October 31, 2012. The decrease in DSO is attributable to the increase in collections from customers.
38
Table of Contents
Working Capital.Working capital is comprised of current assets less current liabilities, as shown on our consolidated balance sheets:
Year Ended October 31, | ||||||||||||||||
2013 | 2012 | $ Change | % Change | |||||||||||||
(dollars in millions) | ||||||||||||||||
Current assets | $ | 1,448.0 | $ | 1,140.7 | $ | 307.3 | 27 | % | ||||||||
Current liabilities | 1,222.9 | 1,252.6 | (29.7 | ) | (2 | )% | ||||||||||
|
|
|
|
|
|
|
| |||||||||
Working capital | $ | 225.1 | $ | (111.9 | ) | $ | 337.0 | (301 | )% | |||||||
|
|
|
|
|
|
|
|
Changes in our working capital were primarily due to (1) a $322.1 million increase in cash and cash equivalents, (2) a $24.9 million decrease in accounts payable and accrued liabilities due to timing of disbursements, (3) a $17.3 million increase in deferred income taxes, and (4) a $7.3 million decrease in deferred revenue due to timing of our billings. These changes in working capital were partially offset by a $36.6 million decrease in accounts receivable attributable to timing and a $2.5 million increase in accrued income taxes.
Other
As of October 31, 2013, our cash equivalents consisted of cash deposits, tax-exempt money market mutual funds, and taxable money market mutual funds. We follow an established investment policy and set of guidelines to monitor, manage and limit our exposure to interest rate and credit risk.
We proactively manage our cash and cash equivalents balances and closely monitor our capital and stock repurchase expenditures to ensure ample liquidity. Our cash equivalents are classified within Level 1 under fair value guidance. See Notes 5 and 6 of theNotes to Consolidated Financial Statements.
Other Commitments
On February 17, 2012, we entered into an agreement with several lenders (the Credit Agreement) providing for (i) a $350.0 million senior unsecured revolving credit facility (the Revolver) and (ii) a $150.0 million senior unsecured term loan facility (the Term Loan). Principal payments on a portion of the Term Loan are due in equal quarterly installments of $7.5 million, with the remainder due when the Credit Agreement expires in October 2016. We can elect to make prepayments on the Term Loan, in whole or in part, without premium or penalty. Subject to obtaining additional commitments from lenders, the principal amount of the loans provided under the Credit Agreement may be increased by us by up to an additional $150.0 million through October 13, 2015. The Credit Agreement contains financial covenants requiring us to operate within a maximum leverage ratio and maintain specified levels of cash, as well as other non-financial covenants.
As of October 31, 2013, we had a $105.0 million outstanding balance under the Term Loan, of which $75.0 million is classified as long-term, and no outstanding balance under the Revolver. As of October 31, 2012, we had a $135.0 million outstanding balance under the Term Loan, of which $105.0 million is classified as long-term, and no outstanding balance under the Revolver. Borrowings bear interest at a floating rate based on a margin over our choice of market-observable base rates as defined in the Credit Agreement. At October 31, 2013, borrowings under the Term Loan bore interest at LIBOR + 1.125% and the applicable interest rate for the Revolver was LIBOR + 0.975%. In addition, commitment fees are payable on the Revolver at rates between 0.150% and 0.300% per year based on our leverage ratio on the daily amount of the revolving commitment.
39
Table of Contents
Contractual Obligations
The following table summarizes our contractual obligations as of October 31, 2013:
Total | Fiscal 2014 | Fiscal 2015/ Fiscal 2016 | Fiscal 2017/ Fiscal 2018 | Thereafter | Other | |||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||
Lease Obligations: | ||||||||||||||||||||||||
Capital Lease | $ | 2,418 | $ | 2,418 | $ | — | $ | — | $ | — | $ | — | ||||||||||||
Operating Leases(1) | $ | 402,750 | $ | 46,492 | $ | 71,440 | $ | 61,875 | $ | 222,943 | $ | — | ||||||||||||
Purchase Obligations(2) | 95,345 | 30,131 | 63,366 | 1,848 | — | — | ||||||||||||||||||
Term Loan(3) | 105,000 | 30,000 | 75,000 | — | — | — | ||||||||||||||||||
Other Long-Term Obligations(4) | 3,190 | 1,568 | 1,622 | — | — | — | ||||||||||||||||||
Long term accrued income taxes(5) | 53,064 | — | — | — | — | $ | 53,064 | |||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
| |||||||||||||
Total | $ | 661,767 | $ | 110,609 | $ | 211,428 | $ | 63,723 | $ | 222,943 | $ | 53,064 | ||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
(1) | See Note 7 ofNotes to Consolidated Financial Statements. |
(2) | Purchase obligations represent an estimate of all open purchase orders and contractual obligations in the ordinary course of business for which we have not received the goods or services as of October 31, 2013. Although open purchase orders are considered enforceable and legally binding, the terms generally allow us the option to cancel, reschedule and adjust our requirements based on our business needs prior to the delivery of goods or performance of services. |
(3) | This commitment relates to the principal on the Term Loan as discussed inOther Commitments above. |
(4) | These other obligations include a loan assumed through an acquisition and the fees associated with our Term Loan and Revolver. |
(5) | Long-term accrued income taxes represent uncertain tax benefits as of October 31, 2013. Currently, a reasonably reliable estimate of timing of payments in individual years beyond fiscal 2013 cannot be made due to uncertainties in timing of the commencement and settlement of potential tax audits. |
The expected timing of payments of the obligations discussed above is estimated based on current information. Timing of payment and actual amounts paid may be different depending on the time of receipt of goods or services or changes to agreed-upon amounts for some obligations. Amounts disclosed as contingent or milestone based obligations depend on the achievement of the milestones or the occurrence of the contingent events and can vary significantly.
Off-Balance Sheet Arrangements
As of October 31, 2013, we did not have any significant off-balance sheet arrangements, as defined in Item 303(a)(4)(ii) of SEC Regulation S-K.
Item 7A. Quantitative and Qualitative Disclosures About Market Risk |
Our exposure to market risk for changes in interest rates relates to our cash and cash equivalents and outstanding debt. As of October 31, 2013, all of our cash, cash equivalents and debt were at short-term variable interest rates. While par value generally approximates fair value on variable instruments, rising interest rates over time would increase both our interest income and our interest expense.
40
Table of Contents
The following tables present our cash equivalents and debt by fiscal year of expected maturity and average interest rates:
As of October 31, 2013
Maturing in Year Ending October 31, | ||||||||||||||||||||
2014 | 2015 | 2016 | Total | Fair Value | ||||||||||||||||
(in thousands) | ||||||||||||||||||||
Cash equivalent (variable rate) | $ | 839,149 | $ | — | $ | — | $ | 839,149 | $ | 839,149 | ||||||||||
Average interest rate | 0.16 | % | — | % | — | % | ||||||||||||||
Short-term debt (variable rate) | ||||||||||||||||||||
Term Loan | $ | 30,000 | $ | — | $ | — | $ | 30,000 | $ | 30,000 | ||||||||||
Average interest rate | | LIBOR + 1.125 | % | — | % | — | % | |||||||||||||
Long-term debt (variable rate) | ||||||||||||||||||||
Term Loan | $ | — | $ | 30,000 | $ | 45,000 | $ | 75,000 | $ | 75,000 | ||||||||||
Average interest rate | — | % | | LIBOR + 1.125 | % | | LIBOR + 1.125 | % |
As of October 31, 2012
Maturing in Year Ending October 31, | ||||||||||||||||||||||||
2013 | 2014 | 2015 | 2016 | Total | Fair Value | |||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||
Cash equivalent (variable rate) | $ | 533,221 | $ | — | $ | — | $ | — | $ | 533,221 | $ | 533,221 | ||||||||||||
Average interest rate | 0.39 | % | — | % | — | % | — | % | ||||||||||||||||
Short-term debt (variable rate) | ||||||||||||||||||||||||
Term Loan | $ | 30,000 | $ | — | $ | — | $ | — | $ | 30,000 | $ | 30,000 | ||||||||||||
Average interest rate | | LIBOR + 1.125 | % | — | % | — | % | — | % | |||||||||||||||
Long-term debt (variable rate) | ||||||||||||||||||||||||
Term Loan | $ | 30,000 | $ | 30,000 | $ | 45,000 | $ | 105,000 | $ | 105,000 | ||||||||||||||
Average interest rate | | LIBOR + 1.125 | % | | LIBOR + 1.125 | % | | LIBOR + 1.125 | % |
Foreign Currency Risk.We operate internationally and are exposed to potentially adverse movements in currency exchange rates. The functional currency of the majority of our active foreign subsidiaries is the foreign subsidiary’s local currency. We enter into hedges in the form of foreign currency forward contracts to reduce our exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions including: (1) certain assets and liabilities, (2) shipments forecasted to occur within approximately one month, (3) future billings and revenue on previously shipped orders, and (4) certain future intercompany invoices denominated in foreign currencies. The foreign currency contracts are carried at fair value and denominated in various currencies as listed in the tables below. The duration of forward contracts usually ranges from one month to 21 months. A description of our accounting for foreign currency contracts is included in Note 2 and Note 5 of Notes to Consolidated Financial Statements.
The success of our hedging activities depends upon the accuracy of our estimates of various balances and transactions denominated in non-functional currencies. To the extent our estimates are correct, gains and losses on our foreign currency contracts will be offset by corresponding losses and gains on the underlying transactions. For example, if the Euro were to depreciate by 10% compared to the U.S. dollar prior to the settlement of the Euro forward contracts listed in the table below providing information as of October 31, 2013, the fair value of the contracts would decrease by approximately
41
Table of Contents
$9.2 million, and we would be required to pay approximately $9.2 million to the counterparty upon contract maturity. At the same time, the U.S. dollar value of our Euro-based expenses would decline, resulting in a gain and positive cash flow of approximately $9.2 million that would offset the loss and negative cash flow on the maturing forward contracts.
Net unrealized losses of approximately $1.8 million and $1.3 million, net of tax, are included in accumulated other comprehensive income (loss) in our consolidated balance sheets as of October 31, 2013 and October 31, 2012, respectively.
If estimates of our balances and transactions prove inaccurate, we will not be completely hedged, and we will record a gain or loss, depending upon the nature and extent of such inaccuracy.
We do not use foreign currency forward contracts for speculative or trading purposes. We enter into foreign exchange forward contracts with financial institutions and have not experienced nonperformance by counterparties. Further, we anticipate performance by all counterparties to such agreements. The following table provides information about the gross notional values of our foreign currency contracts as of October 31, 2013:
Gross Notional Amount in U.S. Dollars | Average Contract Rate | |||||||
(in thousands) | ||||||||
Forward Contract Values: | ||||||||
Japanese yen | $ | 297,658 | 96.334 | |||||
Euro | 92,351 | 0.754 | ||||||
Taiwan dollar | 84,458 | 29.341 | ||||||
Indian rupee | 70,030 | 62.213 | ||||||
Chinese renminbi | 68,208 | 6.246 | ||||||
Canadian dollar | 30,819 | 1.030 | ||||||
British pound sterling | 25,638 | 0.634 | ||||||
Israeli shekel | 18,615 | 3.555 | ||||||
Armenian dram | 16,442 | 395.147 | ||||||
Korean won | 15,818 | 1116.702 | ||||||
Swiss franc | 8,443 | 0.925 | ||||||
Swedish krona | 6,440 | 6.416 | ||||||
Singapore dollar | 5,555 | 1.263 | ||||||
Chilean peso | 2,595 | 530.167 | ||||||
Russian ruble | 2,415 | 34.202 | ||||||
Hungarian forint | 1,316 | 212.740 | ||||||
|
| |||||||
$ | 746,801 | |||||||
|
|
42
Table of Contents
The following table provides information about the gross notional values of our foreign currency contracts as of October 31, 2012:
Gross Notional Amount in U.S. Dollars | Average Contract Rate | |||||||
(in thousands) | ||||||||
Forward Contract Values: | ||||||||
Japanese yen | $ | 259,317 | 79.09 | |||||
Euro | 93,316 | 0.761 | ||||||
Indian rupee | 54,016 | 54.438 | ||||||
Chinese renminbi | 52,669 | 6.353 | ||||||
Taiwan dollar | 35,633 | 29.174 | ||||||
Canadian dollar | 30,180 | 1.012 | ||||||
British pound sterling | 24,862 | 0.630 | ||||||
Israeli shekel | 14,982 | 4.010 | ||||||
Armenian dram | 13,684 | 396.882 | ||||||
Korean won | 13,045 | 1137.426 | ||||||
Swiss franc | 8,222 | 0.971 | ||||||
Swedish krona | 8,114 | 6.692 | ||||||
Singapore dollar | 5,282 | 1.243 | ||||||
Russian ruble | 2,818 | 33.261 | ||||||
Australian dollar | 2,077 | 0.973 | ||||||
Hungarian forint | 470 | 222.280 | ||||||
Polish zloty | 291 | 3.243 | ||||||
|
| |||||||
$ | 618,978 | |||||||
|
|
Equity Risk.We have approximately $11.5 million and $11.7 million of non-marketable equity securities in privately held companies as of October 31, 2013 and October 31, 2012, respectively. These investments are accounted for under the cost or equity methods. The cost basis of securities sold is based on the specific identification method. The securities of privately held companies are reported at carrying value. Investments are written down to the fair value if there are any events or changes in circumstances that indicate any other than temporary decline in the value. During fiscal 2013, we did not write down the value of our investment portfolio and during fiscal 2012, we wrote down our investment portfolio by $0.5 million. None of our investments are held for speculation purposes.
43
Table of Contents
Selected Unaudited Quarterly Financial Data
The table below includes certain unaudited financial information for the last four fiscal quarters. Refer to Note 2 ofNotes to Consolidated Financial Statements for information on our fiscal year end.
Quarter Ended | ||||||||||||||||
January 31, | April 30, | July 31, | October 31, | |||||||||||||
(in thousands, except per share data) | ||||||||||||||||
2013: | ||||||||||||||||
Revenue | $ | 475,137 | $ | 499,257 | $ | 482,945 | $ | 504,875 | ||||||||
Gross margin | 364,074 | 391,524 | 367,298 | 385,766 | ||||||||||||
Income before provision for income taxes | 71,730 | 86,707 | 58,172 | 59,057 | ||||||||||||
Net income | 69,922 | 68,691 | 52,297 | 56,890 | ||||||||||||
Net income per share | ||||||||||||||||
Basic | $ | 0.46 | $ | 0.45 | $ | 0.34 | $ | 0.37 | ||||||||
Diluted | 0.45 | 0.44 | 0.33 | 0.36 | ||||||||||||
2012: | ||||||||||||||||
Revenue | $ | 425,496 | $ | 432,561 | $ | 443,747 | $ | 454,213 | ||||||||
Gross margin | 335,642 | 332,055 | 343,958 | 351,689 | ||||||||||||
Income before provision for income taxes | 73,829 | 23,489 | 61,085 | 42,732 | ||||||||||||
Net income | 56,694 | 20,971 | 75,656 | 29,081 | ||||||||||||
Net income per share | ||||||||||||||||
Basic | $ | 0.39 | $ | 0.14 | $ | 0.51 | $ | 0.19 | ||||||||
Diluted | 0.39 | 0.14 | 0.50 | 0.19 |
44
Table of Contents
Report of Independent Registered Public Accounting Firm
The Board of Directors and Stockholders
Synopsys, Inc.:
We have audited the accompanying consolidated balance sheets of Synopsys, Inc. and subsidiaries (the Company) as of November 2, 2013 and November 3, 2012, and the related consolidated statements of operations, comprehensive income, stockholders’ equity, and cash flows for each of the years in the three-year period ended November 2, 2013. We also have audited Synopsys Inc.’s internal control over financial reporting as of November 2, 2013, based on criteria established inInternal Control – Integrated Framework (1992) issued by the Committee of Sponsoring Organizations of the Treadway Commission (COSO). The Company’s management is responsible for these consolidated financial statements, for maintaining effective internal control over financial reporting, and for its assessment of the effectiveness of internal control over financial reporting, included in the accompanying Management’s Report on Internal Control Over Financial Reporting appearing under item 9A(b). Our responsibility is to express an opinion on these consolidated financial statements and an opinion on the Company’s internal control over financial reporting based on our audits.
We conducted our audits in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audits to obtain reasonable assurance about whether the financial statements are free of material misstatement and whether effective internal control over financial reporting was maintained in all material respects. Our audits of the consolidated financial statements included examining, on a test basis, evidence supporting the amounts and disclosures in the financial statements, assessing the accounting principles used and significant estimates made by management, and evaluating the overall financial statement presentation. Our audit of internal control over financial reporting included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, and testing and evaluating the design and operating effectiveness of internal control based on the assessed risk. Our audits also included performing such other procedures as we considered necessary in the circumstances. We believe that our audits provide a reasonable basis for our opinions.
A company’s internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company’s internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company’s assets that could have a material effect on the financial statements.
Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.
In our opinion, the consolidated financial statements referred to above present fairly, in all material respects, the financial position of Synopsys, Inc. and subsidiaries as of November 2, 2013 and November 3, 2012, and the results of their operations and their cash flows for each of the years in the three-year period ended November 2, 2013, in conformity with U.S. generally accepted accounting principles. Also in our opinion, Synopsys, Inc. maintained, in all material respects, effective internal control over financial reporting as of November 2, 2013, based on criteria established inInternal Control – Integrated Framework (1992) issued by the Committee of Sponsoring Organizations of the Treadway Commission.
/s/ KPMG LLP
Santa Clara, California
December 19, 2013
45
Table of Contents
SYNOPSYS, INC.
(In thousands, except par value amounts)
October 31, | ||||||||
2013 | 2012 | |||||||
ASSETS | ||||||||
Current assets: | ||||||||
Cash and cash equivalents | $ | 1,022,441 | $ | 700,382 | ||||
Accounts receivable, net of allowances of $4,253 and $6,072, respectively | 256,026 | 292,668 | ||||||
Deferred income taxes | 92,058 | 74,712 | ||||||
Income taxes receivable and prepaid taxes | 18,277 | 17,267 | ||||||
Prepaid and other current assets | 59,175 | 55,627 | ||||||
|
|
|
| |||||
Total current assets | 1,447,977 | 1,140,656 | ||||||
Property and equipment, net | 197,600 | 191,243 | ||||||
Goodwill | 1,975,971 | 1,976,987 | ||||||
Intangible assets, net | 335,425 | 466,322 | ||||||
Long-term prepaid taxes | 7,935 | 9,429 | ||||||
Long-term deferred income taxes | 243,066 | 239,412 | ||||||
Other long-term assets | 150,961 | 123,607 | ||||||
|
|
|
| |||||
Total assets | $ | 4,358,935 | $ | 4,147,656 | ||||
|
|
|
| |||||
LIABILITIES AND STOCKHOLDERS’ EQUITY | ||||||||
Current liabilities: | ||||||||
Accounts payable and accrued liabilities | $ | 358,197 | $ | 383,093 | ||||
Accrued income taxes | 7,168 | 4,682 | ||||||
Deferred revenue | 827,554 | 834,864 | ||||||
Short-term debt | 30,000 | 30,000 | ||||||
|
|
|
| |||||
Total current liabilities | 1,222,919 | 1,252,639 | ||||||
Long-term accrued income taxes | 53,064 | 52,645 | ||||||
Long-term deferred revenue | 54,736 | 67,184 | ||||||
Long-term debt | 75,000 | 105,000 | ||||||
Other long-term liabilities | 164,939 | 126,217 | ||||||
|
|
|
| |||||
Total liabilities | 1,570,658 | 1,603,685 | ||||||
Stockholders’ equity: | ||||||||
Preferred Stock, $0.01 par value: 2,000 shares authorized; none outstanding | — | — | ||||||
Common Stock, $0.01 par value: 400,000 shares authorized; 154,169 and 150,899 shares outstanding, respectively | 1,542 | 1,509 | ||||||
Capital in excess of par value | 1,597,244 | 1,585,034 | ||||||
Retained earnings | 1,324,854 | 1,098,694 | ||||||
Treasury stock, at cost: 3,095 and 6,365 shares, respectively | (106,668 | ) | (168,090 | ) | ||||
Accumulated other comprehensive income (loss) | (28,695 | ) | (15,461 | ) | ||||
|
|
|
| |||||
Total Synopsys stockholders’ equity | 2,788,277 | 2,501,686 | ||||||
Non-controlling interest | — | 42,285 | ||||||
|
|
|
| |||||
Total stockholders’ equity | 2,788,277 | 2,543,971 | ||||||
Total liabilities and stockholders’ equity | $ | 4,358,935 | $ | 4,147,656 | ||||
|
|
|
|
See accompanying notes to consolidated financial statements.
46
Table of Contents
SYNOPSYS, INC.
CONSOLIDATED STATEMENTS OF OPERATIONS
(In thousands, except per share amounts)
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
Revenue: | ||||||||||||
Time-based license | $ | 1,599,464 | $ | 1,449,300 | $ | 1,260,342 | ||||||
Upfront license | 132,018 | 105,137 | 90,531 | |||||||||
Maintenance and service | 230,732 | 201,580 | 184,770 | |||||||||
|
|
|
|
|
| |||||||
Total revenue | 1,962,214 | 1,756,017 | 1,535,643 | |||||||||
Cost of revenue: | ||||||||||||
License | 268,910 | 232,811 | 205,390 | |||||||||
Maintenance and service | 80,338 | 78,607 | 80,241 | |||||||||
Amortization of intangible assets | 104,304 | 81,255 | 54,819 | |||||||||
|
|
|
|
|
| |||||||
Total cost of revenue | 453,552 | 392,673 | 340,450 | |||||||||
|
|
|
|
|
| |||||||
Gross margin | 1,508,662 | 1,363,344 | 1,195,193 | |||||||||
Operating expenses: | ||||||||||||
Research and development | 669,197 | 581,628 | 491,871 | |||||||||
Sales and marketing | 425,982 | 415,629 | 363,118 | |||||||||
General and administrative | 143,791 | 157,459 | 112,760 | |||||||||
Amortization of intangible assets | 23,199 | 18,604 | 14,601 | |||||||||
|
|
|
|
|
| |||||||
Total operating expenses | 1,262,169 | 1,173,320 | 982,350 | |||||||||
|
|
|
|
|
| |||||||
Operating income | 246,493 | 190,024 | 212,843 | |||||||||
Other income (expense), net | 29,173 | 11,111 | 6,270 | |||||||||
|
|
|
|
|
| |||||||
Income before provision for income taxes | 275,666 | 201,135 | 219,113 | |||||||||
Provision (benefit) for income taxes | 27,866 | 18,733 | (2,251 | ) | ||||||||
|
|
|
|
|
| |||||||
Net income | $ | 247,800 | $ | 182,402 | $ | 221,364 | ||||||
|
|
|
|
|
| |||||||
Net income per share: | ||||||||||||
Basic | $ | 1.62 | $ | 1.24 | $ | 1.51 | ||||||
|
|
|
|
|
| |||||||
Diluted | $ | 1.58 | $ | 1.21 | $ | 1.47 | ||||||
|
|
|
|
|
| |||||||
Shares used in computing per share amounts: | ||||||||||||
Basic | 153,319 | 146,887 | 146,573 | |||||||||
|
|
|
|
|
| |||||||
Diluted | 156,601 | 150,280 | 150,367 | |||||||||
|
|
|
|
|
|
See accompanying notes to consolidated financial statements.
47
Table of Contents
SYNOPSYS, INC.
CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME
(In thousands)
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
Net income | $ | 247,800 | $ | 182,402 | $ | 221,364 | ||||||
Other comprehensive income (loss): | ||||||||||||
Change in foreign currency translation adjustment | (12,726 | ) | (5,805 | ) | 1,659 | |||||||
Cash flow hedges: | ||||||||||||
Deferred gains (losses), net of tax of $2,999, $1,101, and $3,049 for fiscal years 2013, 2012 and 2011, respectively | 6,057 | (2,731 | ) | (8,477 | ) | |||||||
Reclassification adjustment on deferred (gains) losses included in net income, net of tax of $(540), $(4,174), and $(422) for fiscal years 2013, 2012 and 2011, respectively | (6,565 | ) | 14,235 | 1,989 | ||||||||
Change in unrealized gains (losses) on investments, net of tax of $58 and $226, for fiscal 2012 and 2011, respectively. | — | (215 | ) | (342 | ) | |||||||
|
|
|
|
|
| |||||||
Other comprehensive income (loss), net of tax effects | (13,234 | ) | 5,484 | (5,171 | ) | |||||||
|
|
|
|
|
| |||||||
Comprehensive income | 234,566 | 187,886 | 216,193 | |||||||||
|
|
|
|
|
|
See accompanying notes to consolidated financial statements.
48
Table of Contents
SYNOPSYS, INC.
CONSOLIDATED STATEMENTS OF STOCKHOLDERS’ EQUITY
(In thousands)
Common Stock | Capital in Excess of Par Value | Retained Earnings | Treasury Stock | Accumulated Other Comprehensive Income (Loss) | Total Synopsys Shareholder’s Equity | Non-controlling Interest | Total Equity | |||||||||||||||||||||||||||||
Shares | Amount | |||||||||||||||||||||||||||||||||||
Balance at October 31, 2010 | 148,479 | $ | 1,485 | $ | 1,541,383 | $ | 770,674 | $ | (197,586 | ) | $ | (15,774 | ) | $ | 2,100,182 | — | $ | 2,100,182 | ||||||||||||||||||
Components of comprehensive income (loss): | ||||||||||||||||||||||||||||||||||||
Net income | 221,364 | 221,364 | 221,364 | |||||||||||||||||||||||||||||||||
Unrealized loss on investments, net of tax of $226 | (342 | ) | (342 | ) | (342 | ) | ||||||||||||||||||||||||||||||
Deferred loss on cash flow hedges, net of tax of $3,049 | (8,477 | ) | (8,477 | ) | (8,477 | ) | ||||||||||||||||||||||||||||||
Reclassification adjustment on deferred loss of cash flow hedges, net of tax of $(422) | 1,989 | 1,989 | 1,989 | |||||||||||||||||||||||||||||||||
Foreign currency translation adjustment | 1,659 | 1,659 | 1,659 | |||||||||||||||||||||||||||||||||
Purchases of treasury stock | (15,144 | ) | (151 | ) | 151 | (401,836 | ) | (401,836 | ) | (401,836 | ) | |||||||||||||||||||||||||
Equity forward contract | (33,335 | ) | (33,335 | ) | (33,335 | ) | ||||||||||||||||||||||||||||||
Common stock issued | 9,973 | 99 | (43,286 | ) | (34,521 | ) | 241,390 | 163,682 | 163,682 | |||||||||||||||||||||||||||
Stock compensation expense | 56,414 | 56,414 | 56,414 | |||||||||||||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Balance at October 31, 2011 | 143,308 | $ | 1,433 | $ | 1,521,327 | $ | 957,517 | $ | (358,032 | ) | $ | (20,945 | ) | $ | 2,101,300 | — | $ | 2,101,300 | ||||||||||||||||||
Components of comprehensive income (loss): | ||||||||||||||||||||||||||||||||||||
Net income | 182,402 | 182,402 | (504 | ) | 181,898 | |||||||||||||||||||||||||||||||
Unrealized loss on investments, net of tax of $58 | (215 | ) | (215 | ) | (215 | ) | ||||||||||||||||||||||||||||||
Deferred loss on cash flow hedges, net of tax of $1,101 | (2,731 | ) | (2,731 | ) | (2,731 | ) | ||||||||||||||||||||||||||||||
Reclassification adjustment on deferred loss of cash flow hedges, net of tax of $(4,174) | 14,235 | 14,235 | 14,235 | |||||||||||||||||||||||||||||||||
Foreign currency translation adjustment | (5,805 | ) | (5,805 | ) | (5,805 | ) | ||||||||||||||||||||||||||||||
Purchases of treasury stock | (2,474 | ) | (25 | ) | 33,360 | (73,335 | ) | (40,000 | ) | (40,000 | ) | |||||||||||||||||||||||||
Common stock issued | 10,065 | 101 | (40,990 | ) | (41,225 | ) | 263,277 | 181,163 | 181,163 | |||||||||||||||||||||||||||
Stock compensation expense | 71,337 | 71,337 | 71,337 | |||||||||||||||||||||||||||||||||
Non-controlling interest in an acquired company | 42,789 | 42,789 | ||||||||||||||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Balance at October 31, 2012 | 150,899 | $ | 1,509 | $ | 1,585,034 | $ | 1,098,694 | $ | (168,090 | ) | $ | (15,461 | ) | $ | 2,501,686 | $ | 42,285 | $ | 2,543,971 | |||||||||||||||||
Components of comprehensive income (loss): | ||||||||||||||||||||||||||||||||||||
Net income | 247,800 | 247,800 | 247,800 | |||||||||||||||||||||||||||||||||
Deferred gain on cash flow hedges, net of tax of $2,999 | 6,057 | 6,057 | 6,057 | |||||||||||||||||||||||||||||||||
Reclassification adjustment on deferred gain of cash flow hedges, net of tax of $(540) | (6,565 | ) | (6,565 | ) | (6,565 | ) | ||||||||||||||||||||||||||||||
Foreign currency translation adjustment | (12,726 | ) | (12,726 | ) | (12,726 | ) | ||||||||||||||||||||||||||||||
Purchases of treasury stock | (3,996 | ) | (40 | ) | 40 | (145,016 | ) | (145,016 | ) | (145,016 | ) | |||||||||||||||||||||||||
Common stock issued | 7,266 | 73 | (55,312 | ) | (21,640 | ) | 206,438 | 129,559 | 129,559 | |||||||||||||||||||||||||||
Stock compensation expense | 67,482 | 67,482 | 67,482 | |||||||||||||||||||||||||||||||||
Acquisition of non-controlling interest | (42,285 | ) | (42,285 | ) | ||||||||||||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
| |||||||||||||||||||
Balance at October 31, 2013 | 154,169 | $ | 1,542 | $ | 1,597,244 | $ | 1,324,854 | $ | (106,668 | ) | $ | (28,695 | ) | $ | 2,788,277 | — | $ | 2,788,277 | ||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
See accompanying notes to consolidated financial statements.
49
Table of Contents
SYNOPSYS, INC.
CONSOLIDATED STATEMENTS OF CASH FLOWS
(In thousands)
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
Cash flow from operating activities: | ||||||||||||
Net income | $ | 247,800 | $ | 182,402 | $ | 221,364 | ||||||
Adjustments to reconcile net income to net cash provided by operating activities: | ||||||||||||
Amortization and depreciation | 187,404 | 156,840 | 128,550 | |||||||||
Stock compensation | 67,511 | 71,414 | 56,414 | |||||||||
Allowance for doubtful accounts | 102 | 3,754 | 1,058 | |||||||||
Write-down of long-term investments | — | 452 | 999 | |||||||||
(Gain) loss on sale of investments | (868 | ) | (650 | ) | (936 | ) | ||||||
Deferred income taxes | (676 | ) | 12,850 | 22,278 | ||||||||
Net changes in operating assets and liabilities, net of acquired assets and liabilities: | ||||||||||||
Accounts receivable | 37,590 | (53,395 | ) | (18,974 | ) | |||||||
Prepaid and other current assets | (12,063 | ) | 15,199 | (13,445 | ) | |||||||
Other long-term assets | (27,468 | ) | (10,231 | ) | (4,248 | ) | ||||||
Accounts payable and accrued liabilities | (1,135 | ) | 42,960 | (7,408 | ) | |||||||
Income taxes | (2,306 | ) | (43,113 | ) | (58,377 | ) | ||||||
Deferred revenue | 814 | 107,586 | 113,041 | |||||||||
|
|
|
|
|
| |||||||
Net cash provided by operating activities | 496,705 | 486,068 | 440,316 | |||||||||
|
|
|
|
|
| |||||||
Cash flows from investing activities: | ||||||||||||
Proceeds from sales and maturities of short-term investments | — | 166,132 | 136,983 | |||||||||
Purchases of short-term investments | — | (18,179 | ) | (127,385 | ) | |||||||
Proceeds from sales of long-term investments | 989 | 506 | 2,828 | |||||||||
Proceeds from sale of property and equipment | 2,000 | — | — | |||||||||
Purchases of property and equipment | (65,459 | ) | (54,191 | ) | (57,345 | ) | ||||||
Cash paid for acquisitions and intangible assets, net of cash acquired | — | (970,089 | ) | (41,015 | ) | |||||||
Capitalization of software development costs | (3,609 | ) | (3,302 | ) | (2,885 | ) | ||||||
|
|
|
|
|
| |||||||
Net cash used in investing activities | (66,079 | ) | (879,123 | ) | (88,819 | ) | ||||||
|
|
|
|
|
| |||||||
Cash flows from financing activities: | ||||||||||||
Principal payments on capital leases | (3,913 | ) | (6,252 | ) | (4,628 | ) | ||||||
Acquisition of non-controlling interests | (44,004 | ) | — | — | ||||||||
Proceeds from credit facility and term loan | — | 250,000 | — | |||||||||
Repayment of debt | (30,712 | ) | (136,156 | ) | — | |||||||
Issuances of common stock | 131,914 | 175,896 | 162,180 | |||||||||
Purchase of equity forward contract | — | — | (33,335 | ) | ||||||||
Purchases of treasury stock | (145,016 | ) | (40,000 | ) | (401,836 | ) | ||||||
Other | (6,254 | ) | — | — | ||||||||
|
|
|
|
|
| |||||||
Net cash provided by (used in) financing activities | (97,985 | ) | 243,488 | (277,619 | ) | |||||||
Effect of exchange rate changes on cash and cash equivalents | (10,582 | ) | (5,128 | ) | 5,792 | |||||||
|
|
|
|
|
| |||||||
Net change in cash and cash equivalents | 322,059 | (154,695 | ) | 79,670 | ||||||||
Cash and cash equivalents, beginning of year | 700,382 | 855,077 | 775,407 | |||||||||
|
|
|
|
|
| |||||||
Cash and cash equivalents, end of year | $ | 1,022,441 | $ | 700,382 | $ | 855,077 | ||||||
|
|
|
|
|
| |||||||
Supplemental Disclosure of Cash Flow Information: | ||||||||||||
Cash paid for income taxes during the year: | $ | 31,326 | $ | 49,208 | $ | 36,577 | ||||||
Interest payments during the year: | $ | 1,761 | $ | 1,961 | $ | 69 |
See accompanying notes to consolidated financial statements.
50
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS
Note 1. Description of Business
Synopsys, Inc. (Synopsys or the Company) is a world leader in supplying the electronic design automation (EDA) software that engineers use to design, create prototypes for and test integrated circuits, also known as chips. The Company also provides software and hardware used to develop the systems that incorporate integrated circuits and the software that runs on those integrated circuits. The Company’s intellectual property (IP) products are pre-designed circuits that engineers use as components of larger chip designs rather than designing those circuits themselves. To complement these product offerings, the Company provides technical services to support our solutions and we help our customers develop chips and electronic systems.
Note 2. Summary of Significant Accounting Policies
Fiscal Year End.The Company’s fiscal year generally ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, the Company has a 53-week year. Fiscal 2013 was a 52-week year ending on November 2, 2013. Fiscal 2012 and fiscal 2011 were 53-week and 52-week years, respectively, ending on November 3, 2012 and October 29, 2011, respectively. For presentation purposes, the consolidated financial statements and accompanying notes refer to the closest calendar month end.
Principles of Consolidation.The consolidated financial statements include the accounts of the Company and all of its subsidiaries. All significant intercompany accounts and transactions have been eliminated.
Use of Estimates.To prepare financial statements in conformity with U.S. generally accepted accounting principles (GAAP), management must make estimates and assumptions that affect the amounts reported in the consolidated financial statements and accompanying notes. Actual results could differ from these estimates and may result in material effects on the Company’s operating results and financial position.
Foreign Currency Translation.The functional currency of the majority of the Company’s active foreign subsidiaries is the foreign subsidiary’s local currency. Assets and liabilities that are not denominated in the functional currency are remeasured into the functional currency with any related gain or loss recorded in earnings. The Company translates assets and liabilities of its non-U.S. dollar functional currency foreign operations into the U.S. dollar reporting currency at exchange rates in effect at the balance sheet date. The Company translates income and expense items of such foreign operations into U.S. dollars reporting currency at average exchange rates for the period. Accumulated translation adjustments are reported in stockholders’ equity, as a component of accumulated other comprehensive income (loss).
Foreign Currency Contracts.The Company operates internationally and is exposed to potentially adverse movements in currency exchange rates. The Company enters into hedges in the form of foreign currency forward contracts to reduce its exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions. The Company accounts for the foreign currency forward contracts under Accounting Standard Codification (ASC) 815,Derivatives and Hedging. The assets or liabilities associated with the forward contracts are recorded at fair value in other current assets or accrued liabilities in the consolidated balance sheet.
The accounting for gains and losses resulting from changes in fair value depends on the use of the foreign currency forward contract and whether it is designated and qualifies for hedge accounting. SeeNote 5. Financial Assets and Liabilities.
51
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Fair Values of Financial Instruments.The Company’s cash equivalents and foreign currency contracts are carried at fair value. The fair value of the Company’s accounts receivable and accounts payable approximates the carrying amount due to their short duration. Non-marketable equity securities are carried at cost. The Company performs periodic impairment analysis over these non-marketable equity securities. SeeNote 6. Fair Value Measures.
Cash and Cash Equivalents.The Company classifies investments with original maturities of three months or less when acquired as cash equivalents.
Concentration of Credit Risk. Financial instruments that potentially subject the Company to significant concentrations of credit risk consist principally of cash equivalents, marketable securities, foreign currency contracts, and accounts receivable from trade customers. The Company maintains cash equivalents primarily in highly rated taxable and tax-exempt money market funds located in the U.S. and in various overseas locations.
The Company sells its products worldwide primarily to customers in the global electronics market. The Company performs on-going credit evaluations of its customers’ financial condition and does not require collateral. The Company establishes reserves for potential credit losses and such losses have been within management’s expectations and have not been material in any year presented.
Allowance for Doubtful Accounts.Trade accounts receivable are recorded at the invoiced amount and do not bear interest. The Company maintains allowances for doubtful accounts to reduce the Company’s receivables to their estimated net realizable value. The Company provides a general reserve on all accounts receivable based on a review of customer accounts. The following table presents the changes in the allowance for doubtful accounts.
Fiscal Year | Balance at Beginning of Period | Provisions | Write-offs(1) | Balance at End of Period | ||||||||||||
(in thousands) | ||||||||||||||||
2013 | $ | 6,072 | $ | 102 | $ | (1,921 | ) | $ | 4,253 | |||||||
2012 | $ | 2,489 | $ | 3,754 | $ | (171 | ) | $ | 6,072 | |||||||
2011 | $ | 2,727 | $ | 1,058 | $ | (1,296 | ) | $ | 2,489 |
(1) | Balances written off, net of recoveries. |
Income Taxes.The Company accounts for income taxes using the asset and liability method. Deferred tax assets and liabilities are recognized for the future tax consequences attributable to differences between the financial statement carrying amounts of existing assets and liabilities and their respective tax bases and operating loss and tax credit carryforwards. Deferred tax assets and liabilities are measured using enacted tax rates expected to apply to taxable income in the years in which those temporary differences are expected to be recovered or settled. The effect on deferred tax assets and liabilities of a change in tax rates is recognized in income in the period that includes the enactment date.
The Company accounts for uncertainty in income taxes using a two-step approach to recognizing and measuring uncertain tax positions. The first step is to evaluate the tax position for recognition by determining whether it is more likely than not that the position will be sustained on audit, including resolution of related appeals or litigation processes, if any. The second step is to measure the tax benefit as the largest amount which is more than 50% likely of being realized upon ultimate settlement. An uncertain tax position is considered effectively settled on completion of an examination by a taxing authority if certain other conditions are satisfied.
52
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Property and Equipment.Property and equipment is recorded at cost less accumulated depreciation. Assets, excluding land, are depreciated using the straight-line method over their estimated useful lives. Leasehold improvements are amortized using the straight-line method over the remaining term of the lease or the economic useful life of the asset, whichever is shorter. Depreciation expenses were $56.7 million, $52.8 million and $51.0 million in fiscal 2013, 2012 and 2011, respectively. Repair and maintenance costs are expensed as incurred and such costs were $26.3 million, $23.7 million and $20.9 million in fiscal 2013, 2012 and 2011, respectively.
A detail of property and equipment is as follows:
October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Computer and other equipment | $ | 372,295 | $ | 329,376 | ||||
Buildings | 69,352 | 70,237 | ||||||
Furniture and fixtures | 31,317 | 29,212 | ||||||
Land | 20,414 | 20,414 | ||||||
Leasehold improvements | 96,334 | 92,111 | ||||||
|
|
|
| |||||
589,712 | 541,350 | |||||||
Less accumulated depreciation and amortization(1) | (392,112 | ) | (350,107 | ) | ||||
|
|
|
| |||||
Total | $ | 197,600 | $ | 191,243 | ||||
|
|
|
|
(1) | Accumulated depreciation and amortization includes write-offs due to retirement of fully amortized fixed assets. |
The useful lives of depreciable assets are as follows:
Useful Life in Years | ||||
Computer and other equipment | 3-5 | |||
Buildings | 30 | |||
Furniture and fixtures | 5 | |||
Leasehold improvements (average) | 5 |
Goodwill.Goodwill represents the excess of the aggregate purchase price over the fair value of the net tangible and identifiable intangible assets acquired by the Company. The carrying amount of goodwill is tested for impairment annually or more frequently if facts and circumstances warrant a review. The Company determined that it is a single reporting unit for the purpose of goodwill impairment tests. For purposes of assessing the impairment of goodwill, the Company estimates the value of the reporting unit using its market capitalization as the best evidence of fair value. This fair value is then compared to the carrying value of the reporting unit. During fiscal 2013, 2012 and 2011, there were no indicators of impairment to goodwill.
Intangible Assets.Intangible assets consist of acquired technology, certain contract rights, customer relationships, trademarks and trade names, covenants not to compete, capitalized software, and in-process research and development. Intangible assets are amortized on a straight-line basis over their estimated useful lives which range from two to ten years.
The Company continually monitors events and changes in circumstances that could indicate carrying amounts of the long-lived assets, including property and equipment and intangible assets,
53
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
may not be recoverable. When such events or changes in circumstances occur, the Company assesses the recoverability of long-lived assets by determining whether the carrying value of such assets will be recovered through the undiscounted future cash flow. If the undiscounted future cash flow is less than the carrying amount of these assets, the Company recognizes an impairment loss based on the excess of the carrying amount over the fair value of the assets. The Company had no impairments of any long-lived assets in fiscal 2013, 2012 or 2011.
Accounts Payable and Accrued Liabilities. The balance consists of:
October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Payroll and related benefits | $ | 302,374 | $ | 299,961 | ||||
Other accrued liabilities | 47,248 | 53,277 | ||||||
Accounts payable | 8,575 | 29,855 | ||||||
|
|
|
| |||||
Total | $ | 358,197 | $ | 383,093 | ||||
|
|
|
|
Other Long-term Liabilities. The balance consists of:
October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Deferred compensation liability (SeeNote 10) | $ | 126,621 | $ | 100,645 | ||||
Other long-term liabilities | 38,318 | 25,572 | ||||||
|
|
|
| |||||
Total | $ | 164,939 | $ | 126,217 | ||||
|
|
|
|
Other Comprehensive Income (Loss).Other comprehensive income (loss) (OCI) includes all changes in equity during a period from non-owner sources, such as accumulated net translation adjustments, unrealized gain (loss) on certain foreign currency forward contracts that qualify as cash flow hedges, reclassification adjustments related to cash flow hedges and unrealized gain (loss) on investments. SeeNote 8.Accumulated Other Comprehensive Income (Loss).
Revenue Recognition.Software license revenue consists of fees associated with the licensing of the Company’s software. Maintenance and service revenue consists of maintenance fees associated with perpetual and term licenses and professional services fees. Hardware revenue consists of Field Programmable Gate Array (FPGA)-based emulation and prototyping systems.
With respect to software licenses, the Company utilizes three license types:
• | Technology Subscription Licenses (TSLs). TSLs are time-based licenses for a finite term, and generally provide the customer limited rights to receive, or to exchange certain quantities of licensed software for, unspecified future technology. The Company bundles and does not charge separately for post-contract customer support (maintenance) for the term of the license. |
• | Term licenses. Term licenses are also for a finite term, but do not provide the customer any rights to receive, or to exchange licensed software for, unspecified future technology. Customers purchase maintenance separately for the first year and may renew annually for the balance of the term. The annual maintenance fee is typically calculated as a percentage of the net license fee. |
54
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
• | Perpetual licenses. Perpetual licenses continue as long as the customer renews maintenance plus an additional 20 years. Perpetual licenses do not provide the customer any rights to receive, or to exchange licensed software for, unspecified future technology. Customers purchase maintenance separately for the first year and may renew annually. |
For the three software license types, the Company recognizes revenue as follows:
• | TSLs. The Company typically recognizes revenue from TSL fees (which include bundled maintenance) ratably over the term of the license period, or as customer installments become due and payable, whichever is later. Revenue attributable to TSLs is reported as “time-based license revenue” in the consolidated statements of operations. |
• | Term licenses. The Company recognizes revenue from term licenses in full upon shipment of the software if payment terms require the customer to pay at least 75% of the license fee and 100% of the maintenance fee within one year from shipment and all other revenue recognition criteria are met. Revenue attributable to these term licenses is reported as “upfront license revenue” in the consolidated statements of operations. For term licenses in which less than 75% of the license fee and 100% of the maintenance fee is payable within one year from shipment, the Company recognizes revenue as customer payments become due and payable. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. |
• | Perpetual licenses. The Company recognizes revenue from perpetual licenses in full upon shipment of the software if payment terms require the customer to pay at least 75% of the license fee and 100% of the maintenance fee within one year from shipment and all other revenue recognition criteria are met. Revenue attributable to these perpetual licenses is reported as “upfront license revenue” in the consolidated statements of operations. For perpetual licenses in which less than 75% of the license fee and 100% of the maintenance fee is payable within one year from shipment, the Company recognizes revenue as customer installments become due and payable. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. |
The Company also enters into arrangements in which portions of revenue are contingent upon the occurrence of uncertain future events, for example, royalty arrangements. The Company refers to this revenue as “contingent revenue.” Contingent revenue is recognized if and when the applicable event occurs. Such revenue is reported as “time-based license revenue” in the consolidated statements of operations. Historically, these arrangements have not been material to the Company’s total revenue.
The Company recognizes revenue from sales of hardware and perpetual licenses to IP and system-level products in full upon shipment if all other revenue recognition criteria are met. Revenue attributable to these sales is reported as “upfront license revenue” in the consolidated statements of operations and is not material to the Company’s total revenue.
The Company infrequently enters into multiple-element arrangements that contain both software and non-software deliverables such as hardware. The Company has determined that the software and non-software deliverables in the Company’s contracts are separate units of accounting. The Company recognizes revenue for the separate units of accounting when all revenue recognition criteria are met. Revenue allocated to hardware units of accounting is recognized upon shipment when all other revenue recognition criteria are met. Revenue allocated to software units of accounting is recognized according to the methods described above depending on the software license type (TSL, term license or perpetual license). Such arrangements have not had a material effect on the Company’s consolidated financial statements and are not expected to have a material effect in future periods.
55
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The Company recognizes revenue from maintenance fees ratably over the maintenance period to the extent cash has been received or fees become due and payable, and recognizes revenue from professional services and training fees as such services are performed and accepted by the customer. Revenue attributable to maintenance, professional services and training is reported as “maintenance and service revenue” in the consolidated statements of operations.
The Company also enters into arrangements to deliver software products, either alone or together with other products or services that require significant modification, or customization of the software. The Company accounts for such arrangements using the percentage of completion method as the Company has the ability to make reasonably dependable estimates that relate to the extent of progress toward completion, contract revenues and costs. The Company measures the progress towards completion using the labor hours incurred to complete the project. Revenue attributable to these arrangements is reported as “maintenance and service revenue” in the consolidated statements of operations.
The Company determines the fair value of each element in multiple element software arrangements that contain only software and software related deliverables based on vendor-specific objective evidence (VSOE). The Company limits assessment of VSOE of fair value for each element to the price charged when such element is sold separately. The Company has analyzed all of the elements included in multiple-element software arrangements and has determined that the Company has sufficient VSOE to allocate revenue to the maintenance components of the Company’s perpetual and term license products and to professional services. Accordingly, assuming all other revenue recognition criteria are met, the Company recognizes license revenue from perpetual and term licenses upon delivery using the residual method, recognizes revenue from maintenance ratably over the maintenance term, and recognizes revenue from professional services as services are performed and accepted by the customer. The Company recognizes revenue from TSLs ratably over the term of the license, assuming all other revenue recognition criteria are met, since there is not sufficient VSOE to allocate the TSL fee between license and maintenance services.
The Company makes significant judgments related to revenue recognition. Specifically, in connection with each transaction involving the Company’s products, the Company must evaluate whether: (1) persuasive evidence of an arrangement exists, (2) delivery of software or services has occurred, (3) the fee for such software or services is fixed or determinable, and (4) collectability of the full license or service fee is probable. All four of these criteria must be met in order for the Company to recognize revenue with respect to a particular arrangement. The Company applies these revenue recognition criteria as follows:
• | Persuasive Evidence of an Arrangement Exists. Prior to recognizing revenue on an arrangement, the Company’s customary policy is to have a written contract, signed by both the customer and by the Company or a purchase order from those customers that have previously negotiated a standard end-user license arrangement or purchase agreement. |
• | Delivery Has Occurred. The Company delivers its products to its customers electronically or physically. For electronic deliveries, delivery occurs when the Company provides access to its customers to take immediate possession of the software through downloading it to the customer’s hardware. For physical deliveries, the standard transfer terms are typically Freight on Board (FOB) shipping point. The Company generally ships its products or license keys promptly after acceptance of customer orders. However, a number of factors can affect the timing of product shipments and, as a result, timing of revenue recognition, including the delivery dates requested by customers and its operational capacity to fulfill product orders at the end of a fiscal quarter. |
56
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
• | The Fee is Fixed or Determinable. The Company’s determination that an arrangement fee is fixed or determinable depends principally on the arrangement’s payment terms. The Company’s standard payment terms for perpetual and term licenses require 75% or more of the license fee and 100% of the maintenance fee to be paid within one year. If the arrangement includes these terms, the Company regards the fee as fixed or determinable, and recognizes all license revenue under the arrangement in full upon delivery (assuming all other revenue recognition criteria are met). If the arrangement does not include these terms, the Company does not consider the fee to be fixed or determinable and generally recognizes revenue when customer installments are due and payable. In the case of a TSL, because of the right to exchange products or receive unspecified future technology and because VSOE for maintenance services does not exist for a TSL, the Company recognizes revenue ratably over the term of the license, but not in advance of when customers’ installments become due and payable. |
• | Collectability is Probable. The Company judges collectability of the arrangement fees on a customer-by-customer basis pursuant to its credit review policy. The Company typically sells to customers with whom it has a history of successful collection. For a new customer, or when an existing customer substantially expands its commitments, the Company evaluates the customer’s financial position and ability to pay and typically assigns a credit limit based on that review. The Company increases the credit limit only after it has established a successful collection history with the customer. If the Company determines at any time that collectability is not probable under a particular arrangement based upon its credit review process or the customer’s payment history, the Company recognizes revenue under that arrangement as customer payments are actually received. |
Warranties and Indemnities.The Company generally warrants its products to be free from defects in media and to substantially conform to material specifications for a period of 90 days for software products and for up to six months for hardware products. In certain cases, the Company also provides its customers with limited indemnification with respect to claims that their use of the Company’s software products infringe on United States patents, copyrights, trademarks or trade secrets. The Company is unable to estimate the potential impact of these commitments on the future results of operations. To date, the Company has not been required to pay any material warranty claims.
Net Income Per Share.The Company computes basic income per share by dividing net income available to common shareholders by the weighted average number of common shares outstanding during the period. Diluted net income per share reflects the dilution from potential common shares outstanding such as stock options and unvested restricted stock units and awards during the period using the treasury stock method.
57
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The table below reconciles the weighted average common shares used to calculate basic net income per share with the weighted average common shares used to calculate diluted net income per share:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Numerator: | ||||||||||||
Net income | $ | 247,800 | $ | 182,402 | $ | 221,364 | ||||||
Denominator: | ||||||||||||
Weighted average common shares for basic net income per share | 153,319 | 146,887 | 146,573 | |||||||||
Dilutive effect of common share equivalents from equity—based compensation | 3,282 | 3,393 | 3,794 | |||||||||
|
|
|
|
|
| |||||||
Weighted average common shares for diluted net income per share | 156,601 | 150,280 | 150,367 | |||||||||
|
|
|
|
|
| |||||||
Net income per share: | ||||||||||||
Basic | $ | 1.62 | $ | 1.24 | $ | 1.51 | ||||||
Diluted | $ | 1.58 | $ | 1.21 | $ | 1.47 | ||||||
Anti-dilutive employee stock-based awards excluded(1) | 1,326 | 3,314 | 4,669 |
(1) | These stock options and unvested restricted stock units were anti-dilutive for the respective periods and are excluded in calculating diluted net income per share. While such awards were anti-dilutive for the respective periods, they could be dilutive in the future. |
Note 3. Business Combinations and Acquisition of Non-controlling Interest
Fiscal 2013 Acquisition of Non-controlling Interest
During the first quarter of fiscal 2013, the Company completed the acquisition of the non-controlling interest in SpringSoft, Inc. (SpringSoft), a company organized under the laws of the Republic of China (Taiwan), in which the remaining 8.4% of outstanding shares of SpringSoft along with the remaining outstanding vested stock options of SpringSoft were exchanged for cash of $44.0 million.
Fiscal 2012 Acquisitions
Acquisition of SpringSoft
On August 3, 2012, the Company’s wholly owned subsidiary incorporated under the laws of the Republic of China entered into a merger agreement pursuant to which it commenced a cash tender offer to acquire SpringSoft at a price of 57.00 New Taiwan Dollars per share. The Company acquired 91.6% of the outstanding shares of SpringSoft on October 1, 2012 for an aggregate cash consideration of $373.5 million. The remaining 8.4% of the outstanding shares along with the fair value of outstanding SpringSoft equity awards were reflected as a Non-controlling Interest (NCI) in the Company’s fiscal 2012 financial statements. This acquisition enables the Company to strengthen and widen its offerings in design, verification and debugging tools.
The Company allocated the total purchase consideration of $426.9 million (including the $44.0 million for the fair value of the non-controlling interest and $9.4 million related to equity awards assumed) to the assets acquired and liabilities assumed based on their respective fair values, including
58
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
acquired identifiable intangible assets of $107.3 million, resulting in total goodwill of $257.6 million. Identifiable intangible assets are being amortized over three to eight years. Acquisition-related costs directly attributable to the business combination were $6.6 million for fiscal 2012 and were expensed as incurred in the consolidated statements of operations. These costs consisted primarily of employee separation costs and professional services.
Acquisition of Magma Design Automation, Inc. (Magma)
On February 22, 2012, the Company acquired Magma, a chip design software provider, at a per-share price of $7.35. Additionally, the Company assumed unvested restricted stock units (RSUs) and stock options, collectively called “equity awards.” The aggregate purchase price was approximately $550.2 million. This acquisition enables the Company to more rapidly meet the needs of leading-edge semiconductor designers for more sophisticated design tools.
The Company allocated the total purchase consideration of $550.2 million (including $6.8 million related to equity awards assumed) to the assets acquired and liabilities assumed based on their respective fair values at the acquisition date, including acquired identifiable intangible assets of $184.3 million, resulting in total goodwill of $316.3 million. Identifiable intangible assets are being amortized over three to ten years. Acquisition-related costs directly attributable to the business combination totaling $33.5 million for fiscal 2012 were expensed as incurred in the consolidated statements of operations and consist primarily of employee separation costs, contract terminations, professional services, and facilities closure costs.
Other Fiscal 2012 Acquisitions
During fiscal 2012, the Company acquired five other companies, including Emulation & Verification Engineering, S.A. (EVE), for cash and allocated the total purchase consideration of $213.2 million to the assets acquired and liabilities assumed based on their respective fair values, resulting in total goodwill of $118.1 million. Acquired identifiable intangible assets totaling $73.3 million were valued using appropriate valuation methods such as income or cost methods and are being amortized over their respective useful lives ranging from one to eight years. During fiscal 2012, acquisition-related costs totaling $6.8 million were expensed as incurred in the consolidated statements of operations.
Fiscal 2011 Acquisitions
During fiscal 2011, the Company completed two acquisitions for cash and allocated the total purchase consideration of $37.4 million to the assets and liabilities acquired based on their respective fair values at the acquisition date resulting in goodwill of $30.6 million. Acquired identifiable intangible assets of $9.3 million are being amortized over two to ten years.
Note 4. Goodwill and Intangible Assets
Goodwill:
(in thousands) | ||||
Balance at October 31, 2011 | $ | 1,289,286 | ||
Additions | 687,195 | |||
Other adjustments(1) | 506 | |||
|
| |||
Balance at October 31, 2012 | $ | 1,976,987 | ||
Additions | — | |||
Other adjustments(1) | (1,016 | ) | ||
|
| |||
Balance at October 31, 2013 | $ | 1,975,971 | ||
|
|
59
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
(1) | Adjustments primarily relate to changes in deferred tax estimates for acquisitions that closed in the prior fiscal year for which the purchase price allocation was still preliminary, and effects of foreign currency fluctuations. |
Intangible assets as of October 31, 2013 consist of the following:
Gross Assets | Accumulated Amortization | Net Assets | ||||||||||
(in thousands) | ||||||||||||
Core/developed technology | $ | 380,724 | $ | 228,065 | $ | 152,659 | ||||||
Customer relationships | 177,151 | 69,745 | 107,406 | |||||||||
Contract rights intangible | 140,517 | 78,950 | 61,567 | |||||||||
Covenants not to compete | 2,530 | 2,480 | 50 | |||||||||
Trademarks and trade names | 10,891 | 5,459 | 5,432 | |||||||||
In-process research and development (IPR&D)(1) | 4,298 | — | 4,298 | |||||||||
Capitalized software development costs | 18,190 | 14,177 | 4,013 | |||||||||
|
|
|
|
|
| |||||||
Total | $ | 734,301 | $ | 398,876 | $ | 335,425 | ||||||
|
|
|
|
|
|
(1) | IPR&D is reclassified to core/developed technology upon completion or is written off upon abandonment. |
Intangible assets as of October 31, 2012 consist of the following:
Gross Assets | Accumulated Amortization | Net Assets | ||||||||||
(in thousands) | ||||||||||||
Core/developed technology | $ | 367,321 | $ | 159,691 | $ | 207,630 | ||||||
Customer relationships | 179,657 | 48,368 | 131,289 | |||||||||
Contract rights intangible | 142,641 | 43,843 | 98,798 | |||||||||
Covenants not to compete | 2,530 | 2,354 | 176 | |||||||||
Trademarks and trade names | 10,900 | 3,793 | 7,107 | |||||||||
In-process research and development (IPR&D)(1) | 17,696 | — | 17,696 | |||||||||
Capitalized software development costs | 14,581 | 10,955 | 3,626 | |||||||||
|
|
|
|
|
| |||||||
Total | $ | 735,326 | $ | 269,004 | $ | 466,322 | ||||||
|
|
|
|
|
|
(1) | IPR&D is reclassified to core/developed technology upon completion or is written off upon abandonment. |
60
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Total amortization expense and estimated useful life ranges related to intangible assets is set forth in the table below:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Core/developed technology | $ | 68,781 | $ | 57,124 | $ | 44,869 | ||||||
Customer relationships | 21,394 | 17,141 | 13,030 | |||||||||
Contract rights intangible | 35,538 | 24,113 | 10,279 | |||||||||
Covenants not to compete | 126 | 248 | 222 | |||||||||
Trademarks and trade names | 1,663 | 1,233 | 1,020 | |||||||||
Capitalized software development costs(1) | 3,222 | 2,994 | 2,964 | |||||||||
|
|
|
|
|
| |||||||
Total | $ | 130,724 | $ | 102,853 | $ | 72,384 | ||||||
|
|
|
|
|
|
(1) | Amortization of capitalized software development costs is included in cost of license revenue in the consolidated statements of operations. |
The following table presents the estimated future amortization of intangible assets:
Fiscal Year | (in thousands) | |||
2014 | $ | 111,023 | ||
2015 | 93,400 | |||
2016 | 58,742 | |||
2017 | 26,012 | |||
2018 | 14,414 | |||
2019 and thereafter | 27,536 | |||
IPR&D(1) | 4,298 | |||
|
| |||
Total | $ | 335,425 | ||
|
|
(1) | IPR&D projects are estimated to be completed within two years as of October 31, 2013. Assets are amortized over their useful life upon completion of the project or are written off upon abandonment. |
Note 5. Financial Assets and Liabilities
Cash, Cash Equivalents and Investments.Cash, cash equivalents and investments are detailed as follows:
Cost | Gross Unrealized Gains | Gross Unrealized Losses Less Than 12 Months | Gross Unrealized Losses 12 Months or Longer | Estimated Fair Value(1) | ||||||||||||||||
(in thousands) | ||||||||||||||||||||
Balance at October 31, 2013 | ||||||||||||||||||||
Classified as current assets: | ||||||||||||||||||||
Non-interest bearing cash | $ | 183,292 | $ | — | $ | — | $ | — | $ | 183,292 | ||||||||||
Cash deposits and money market funds | 839,149 | — | — | — | 839,149 | |||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||
1,022,441 | — | — | — | 1,022,441 | ||||||||||||||||
Classified as other long-term assets: | ||||||||||||||||||||
Non-marketable equity securities | 11,536 | — | — | — | 11,536 | |||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||
Total | $ | 1,033,977 | $ | — | $ | — | $ | — | $ | 1,033,977 | ||||||||||
|
|
|
|
|
|
|
|
|
|
61
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Cost | Gross Unrealized Gains | Gross Unrealized Losses Less Than 12 Months | Gross Unrealized Losses 12 Months or Longer | Estimated Fair Value(1) | ||||||||||||||||
(in thousands) | ||||||||||||||||||||
Balance at October 31, 2012 | ||||||||||||||||||||
Classified as current assets: | ||||||||||||||||||||
Non-interest bearing cash | $ | 167,161 | $ | — | $ | — | $ | — | $ | 167,161 | ||||||||||
Cash deposits and money market funds | 533,221 | — | — | — | 533,221 | |||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||
700,382 | — | — | — | 700,382 | ||||||||||||||||
Classified as other long-term assets: | ||||||||||||||||||||
Non-marketable equity securities | 11,744 | — | — | — | 11,744 | |||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||
Total | $ | 712,126 | $ | — | $ | — | $ | — | $ | 712,126 | ||||||||||
|
|
|
|
|
|
|
|
|
|
(1) | SeeNote 6. Fair Value Measures for further discussion on fair values of money market funds and non-marketable equity securities. |
Non-marketable equity securities.The Company’s strategic investment portfolio consists of non-marketable equity securities in privately held companies. The securities accounted for under cost method investments are reported at cost net of impairment losses. Securities accounted for under equity method investments are recorded at cost plus the proportional share of the issuers’ income or loss, which is recorded in the Company’s other income (expense), net. The cost basis of securities sold is based on the specific identification method. Refer toNote 6. Fair Value Measures.
Derivatives.In accordance with ASC 815,Derivatives and Hedging, the Company recognizes derivative instruments as either assets or liabilities in the consolidated financial statements at fair value and provides qualitative and quantitative disclosures about such derivatives. The Company operates internationally and is exposed to potentially adverse movements in foreign currency exchange rates. The Company enters into hedges in the form of foreign currency forward contracts to reduce its exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions including: (1) certain assets and liabilities, (2) shipments forecasted to occur within approximately one month, (3) future billings and revenue on previously shipped orders, and (4) certain future intercompany invoices denominated in foreign currencies.
The duration of forward contracts ranges from approximately one month to 21 months, the majority of which are short-term. The Company does not use foreign currency forward contracts for speculative or trading purposes. The Company enters into foreign exchange forward contracts with high credit quality financial institutions that are rated ‘A’ or above and to date has not experienced nonperformance by counterparties. Further, the Company anticipates continued performance by all counterparties to such agreements.
The assets or liabilities associated with the forward contracts are recorded at fair value in other current assets or accrued liabilities in the consolidated balance sheets. The accounting for gains and losses resulting from changes in fair value depends on the use of the foreign currency forward contract and whether it is designated and qualifies for hedge accounting.
Cash Flow Hedging Activities
Certain foreign exchange forward contracts are designated and qualify as cash flow hedges. These contracts have durations of approximately 21 months or less. Certain forward contracts are
62
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
rolled over periodically to capture the full length of exposure to the Company’s foreign currency risk, which can be up to three years. To receive hedge accounting treatment, all hedging relationships are formally documented at the inception of the hedge, and the hedges must be highly effective in offsetting changes to future cash flows on the hedged transactions. The effective portion of gains or losses resulting from changes in fair value of these hedges is initially reported, net of tax, as a component of other comprehensive income (OCI), in stockholders’ equity and reclassified into revenue or operating expenses, as appropriate, at the time the hedged transactions affect earnings. We expect a majority of the hedge balance in OCI to be reclassified to the statements of operations within the next twelve months.
Hedging effectiveness is evaluated monthly using spot rates, with any gain or loss caused by hedging ineffectiveness recorded in other income (expense), net. The premium/discount component of the forward contracts is recorded to other income (expense), net, and is not included in evaluating hedging effectiveness.
Non-designated Hedging Activities
The Company’s foreign exchange forward contracts that are used to hedge non-functional currency denominated balance sheet assets and liabilities are not designated as hedging instruments. Accordingly, any gains or losses from changes in the fair value of the forward contracts are recorded in other income (expense), net. The gains and losses on these forward contracts generally offset the gains and losses associated with the underlying assets and liabilities, which are also recorded in other income (expense), net. The duration of the forward contracts for hedging the Company’s balance sheet exposure is approximately one month.
The Company also has certain foreign exchange forward contracts for hedging certain international revenues and expenses that are not designated as hedging instruments. Accordingly, any gains or losses from changes in the fair value of the forward contracts are recorded in other income (expense), net. The gains and losses on these forward contracts generally offset the gains and losses associated with the foreign currency in operating income. The duration of these forward contracts is usually less than one year. The overall goal of the Company’s hedging program is to minimize the impact of currency fluctuations on its net income over its fiscal year.
The effects on the changes in the fair values of non-designated forward contracts for fiscal years 2013 and 2012 are summarized as follows:
October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Gain (loss) recorded in other income (expense), net | $ | 3,009 | $ | 1,033 | $ | 889 |
Foreign currency forward contracts outstanding are as follows:
As of October 31, 2013 | As of October 31, 2012 | |||||||
(in thousands) | ||||||||
Total gross notional amount | $ | 746,801 | $ | 618,978 | ||||
Net fair value | $ | 7,199 | $ | 390 |
63
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The notional amounts for derivative instruments provide one measure of the transaction volume outstanding as of October 31, 2013 and October 31, 2012, respectively, and do not represent the amount of the Company’s exposure to market gain or loss. The Company’s exposure to market gain or loss will vary over time as a function of currency exchange rates. The amounts ultimately realized upon settlement of these financial instruments, together with the gains and losses on the underlying exposures, will depend on actual market conditions during the remaining life of the instruments.
The following represents the balance sheet location and amount of derivative instrument fair values segregated between designated and non-designated hedge instruments:
Fair Values of derivative instruments designated as hedging instruments | Fair Values of derivative instruments not designated as hedging instruments | |||||||
(in thousands) | ||||||||
As of October 31, 2013 | ||||||||
Other current assets | $ | 12,417 | $ | 20 | ||||
Other current liabilities | $ | 5,103 | $ | 135 | ||||
As of October 31, 2012 | ||||||||
Other current assets | $ | 5,149 | $ | 68 | ||||
Other current liabilities | $ | 4,739 | $ | 88 |
The following table represents the income statement location and amount of gains and losses on derivative instrument fair values for designated hedge instruments, net of tax:
Location of gain (loss) recognized in OCI on derivatives | Amount of gain (loss) recognized in OCI on derivatives (effective portion) | Location of gain (loss) reclassified from OCI | Amount of gain (loss) reclassified from OCI (effective portion) | |||||||||
(in thousands) | ||||||||||||
Fiscal year ended October 31, 2013 | ||||||||||||
Foreign exchange contracts | Revenue | $ | 2,427 | Revenue | $ | 7,457 | ||||||
Foreign exchange contracts | Operating expenses | 3,680 | Operating expenses | (892 | ) | |||||||
|
|
|
| |||||||||
Total | $ | 6,107 | $ | 6,565 | ||||||||
|
|
|
| |||||||||
Fiscal year ended October 31, 2012 | ||||||||||||
Foreign exchange contracts | Revenue | $ | 5,212 | Revenue | $ | (1,868 | ) | |||||
Foreign exchange contracts | Operating expenses | (7,640 | ) | Operating expenses | (12,367 | ) | ||||||
|
|
|
| |||||||||
Total | $ | (2,428 | ) | $ | (14,235 | ) | ||||||
|
|
|
| |||||||||
Fiscal year ended October 31, 2011 | ||||||||||||
Foreign exchange contracts | Revenue | $ | (5,647 | ) | Revenue | $ | (8,561 | ) | ||||
Foreign exchange contracts | Operating expenses | (3,225 | ) | Operating expenses | 6,572 | |||||||
|
|
|
| |||||||||
Total | $ | (8,872 | ) | $ | (1,989 | ) | ||||||
|
|
|
|
64
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The following table represents the ineffective portions and portions excluded from effectiveness testing of the hedge gains (losses) for derivative instruments designated as hedging instruments, which are recorded in other income (expense) income, net:
Amount of gain (loss) | Amount of gain (loss) | |||||||
(in thousands) | ||||||||
Fiscal year ended October 31, 2013 | ||||||||
Foreign exchange contracts | $ | 293 | $ | 2,518 | ||||
Fiscal year ended October 31, 2012 | ||||||||
Foreign exchange contracts | $ | 38 | $ | 1,321 | ||||
Fiscal year ended October 31, 2011 | ||||||||
Foreign exchange contracts | $ | 74 | $ | 241 |
(1) | The ineffective portion includes forecast inaccuracies. |
(2) | The portion excluded from effectiveness includes the discount earned or premium paid for the contracts. |
Other Commitments-Credit and Term Loan Facilities
On February 17, 2012, the Company entered into an agreement with several lenders (the Credit Agreement) providing for (i) a $350.0 million senior unsecured revolving credit facility (the Revolver) and (ii) a $150.0 million senior unsecured term loan facility (the Term Loan). Principal payments on a portion of the Term Loan are due in equal quarterly installments of $7.5 million, with the remainder due when the Credit Agreement expires in October 2016. The Company can elect to make prepayments on the Term Loan, in whole or in part, without premium or penalty. Subject to obtaining additional commitments from lenders, the principal amount of the loans provided under the Credit Agreement may be increased by the Company by up to an additional $150.0 million through October 13, 2015. The Credit Agreement contains financial covenants requiring the Company to operate within a maximum leverage ratio and maintain specified levels of cash, as well as other non-financial covenants.
As of October 31, 2013, the Company had a $105.0 million outstanding balance under the Term Loan, of which $75.0 million is classified as long-term and no outstanding balance under the Revolver. As of October 31, 2012, the Company had a $135.0 million outstanding balance under the Term Loan, of which $105.0 million is classified as long-term and no outstanding balance under the Revolver. Borrowings bear interest at a floating rate based on a margin over the Company’s choice of market observable base rates as defined in the Credit Agreement. At October 31, 2013, borrowings under the Term Loan bore interest at LIBOR + 1.125% and the applicable interest rate for the Revolver was LIBOR + 0.975%. In addition, commitment fees are payable on the Revolver at rates between 0.150% and 0.300% per year based on the Company’s leverage ratio on the daily amount of the revolving commitment.
These borrowings under the Credit Agreement have a variable interest rate structure and are classified within Level 2 of the fair value hierarchy. The carrying amount of the short-term and long-term debt approximates the estimated fair value.
Note 6. Fair Value Measures
ASC 820-10,Fair Value Measurements and Disclosures, defines fair value, establishes guidelines and enhances disclosure requirements for fair value measurements.
65
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The accounting guidance requires an entity to maximize the use of observable inputs and minimize the use of unobservable inputs when measuring fair value. The accounting guidance also establishes a fair value hierarchy based on the independence of the source and objective evidence of the inputs used. There are three fair value hierarchies based upon the level of inputs that are significant to fair value measurement:
Level 1—Observable inputs that reflect quoted prices (unadjusted) for identical instruments in active markets;
Level 2—Observable inputs other than quoted prices included in Level 1 for similar instruments in active markets, quoted prices for identical or similar instruments in markets that are not active, and model-driven valuations in which all significant inputs and significant value drivers are observable in active markets; and
Level 3—Unobservable inputs to the valuation derived from fair valuation techniques in which one or more significant inputs or significant value drivers are unobservable.
On a recurring basis, the Company measures the fair value of certain of its assets and liabilities, which include cash equivalents, non-qualified deferred compensation plan assets, foreign currency derivative contracts, and contingent consideration associated with business combinations.
The Company’s cash equivalents are classified within Level 1 because they are valued using quoted market prices in an active market or alternative independent pricing sources and models utilizing market observable inputs.
The Company’s non-qualified deferred compensation plan assets consist of money market and mutual funds invested in domestic and international marketable securities that are directly observable in active markets and are therefore classified within Level 1.
The Company’s foreign currency derivative contracts are classified within Level 2 because these contracts are not actively traded and the valuation inputs are based on quoted prices and market observable data of similar instruments.
The Company’s borrowings under its credit and term loan facilities are classified within Level 2 because these borrowings are not actively traded and have a variable interest rate structure based upon market rates currently available to the Company for debt with similar terms and maturities. Refer toNote 5. Financial Assets and Liabilities.
The Company’s liabilities for contingent consideration are classified within Level 3 because these valuations are based on management assumptions including discount rates and estimated probabilities of achievement of certain milestones which are unobservable in the market. The Company did not record any significant changes during fiscal 2013. The Company recorded a reduction of $3.6 million during fiscal 2012, in research and development expenses due to the change in fair value of the liability for contingent consideration. As of October 31, 2013 and October 31, 2012, the fair value of the liability for contingent consideration was estimated at $0.5 million and $0.8 million, respectively.
66
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Assets/Liabilities Measured at Fair Value on a Recurring Basis
Assets and liabilities measured at fair value on a recurring basis are summarized below as of October 31, 2013:
Fair Value Measurement Using | ||||||||||||||||
Description | Total | Quoted Prices in Active Markets for Identical Assets (Level 1) | Significant Other Observable Inputs (Level 2) | Significant Unobservable Inputs (Level 3) | ||||||||||||
(in thousands) | ||||||||||||||||
Assets | ||||||||||||||||
Cash equivalents: | ||||||||||||||||
Money market funds | $ | 552,470 | $ | 552,470 | $ | — | $ | — | ||||||||
Prepaid and other current assets: | ||||||||||||||||
Foreign currency derivative contracts | 12,437 | — | 12,437 | — | ||||||||||||
Other long-term assets: | ||||||||||||||||
Deferred compensation plan assets | 126,621 | 126,621 | — | — | ||||||||||||
|
|
|
|
|
|
|
| |||||||||
Total assets | $ | 691,528 | $ | 679,091 | $ | 12,437 | $ | — | ||||||||
|
|
|
|
|
|
|
| |||||||||
Liabilities | ||||||||||||||||
Accounts payable and accrued liabilities: | ||||||||||||||||
Foreign currency derivative contracts | $ | 5,238 | $ | — | $ | 5,238 | $ | — | ||||||||
Contingent consideration | 493 | — | — | 493 | ||||||||||||
|
|
|
|
|
|
|
| |||||||||
Total liabilities | $ | 5,731 | $ | — | $ | 5,238 | $ | 493 | ||||||||
|
|
|
|
|
|
|
|
67
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Assets and liabilities measured at fair value on a recurring basis are summarized below as of October 31, 2012:
Description | Total | Fair Value Measurement Using | ||||||||||||||
Quoted Prices in Active Markets for Identical Assets (Level 1) | Significant Other Observable Inputs (Level 2) | Significant Unobservable Inputs (Level 3) | ||||||||||||||
(in thousands) | ||||||||||||||||
Assets | ||||||||||||||||
Cash equivalents: | ||||||||||||||||
Money market funds | $ | 239,770 | $ | 239,770 | $ | — | $ | — | ||||||||
Prepaid and other current assets: | ||||||||||||||||
Foreign currency derivative contracts | 5,217 | — | 5,217 | — | ||||||||||||
Other long-term assets: | ||||||||||||||||
Deferred compensation plan assets | 100,645 | 100,645 | — | — | ||||||||||||
|
|
|
|
|
|
|
| |||||||||
Total assets | $ | 345,632 | $ | 340,415 | $ | 5,217 | $ | — | ||||||||
|
|
|
|
|
|
|
| |||||||||
Liabilities | ||||||||||||||||
Accounts payable and accrued liabilities: | ||||||||||||||||
Foreign currency derivative contracts | $ | 4,827 | $ | — | $ | 4,827 | $ | — | ||||||||
Contingent consideration | 469 | — | — | 469 | ||||||||||||
Other long-term liabilities: | ||||||||||||||||
Contingent consideration | 355 | — | — | 355 | ||||||||||||
|
|
|
|
|
|
|
| |||||||||
Total liabilities | $ | 5,651 | $ | — | $ | 4,827 | $ | 824 | ||||||||
|
|
|
|
|
|
|
|
Assets/Liabilities Measured at Fair Value on a Non-Recurring Basis
Non-Marketable Equity Securities
Equity investments in privately-held companies, also called non-marketable equity securities, are accounted for using either the cost or equity method of accounting.
These equity investments are classified within Level 3 as they are valued using significant unobservable inputs or data in an inactive market, and the valuation requires management judgment due to the absence of market price and inherent lack of liquidity. The non-marketable equity securities are measured and recorded at fair value when an event or circumstance which impacts the fair value of these securities indicates an other-than-temporary decline in value has occurred. The Company monitors these investments and generally uses the income approach to assess impairments based primarily on the financial conditions of these companies.
The Company did not recognize any impairment during fiscal 2013. The Company recorded $0.5 million and $1.0 million of other-than-temporary impairments during fiscal 2012 and 2011, respectively.
68
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The following tables present the non-marketable equity securities that were measured and recorded at fair value within other long-term assets and the loss recorded in other income (expense), net during the following periods:
Balance as of October 31, 2012 | Significant Unobservable Inputs (Level 3) | Total (losses) for Fiscal 2012 | ||||||||||
(in thousands) | ||||||||||||
Non-marketable equity securities | $ | — | $ | — | $ | (452 | ) | |||||
Balance as of October 31, 2011 | Significant Unobservable Inputs (Level 3) | Total (losses) for Fiscal 2011 | ||||||||||
(in thousands) | ||||||||||||
Non-marketable equity securities | $ | 92 | $ | 92 | $ | (999) |
As of October 31, 2013, the Company’s non-marketable securities were $11.5 million of which $6.9 million and $4.6 million were accounted for under the cost method and equity method, respectively. As of October 31, 2012, the Company’s non-marketable securities were $11.7 million, of which $7.0 million and $4.7 million were accounted for under the cost method and equity method, respectively. Subsequent to the fiscal 2013 year-end, in November 2013, the Company received a cash distribution from the liquidation of one of its investments and will recognize a gain of $6.4 million in other income (expense), net, in the first quarter of fiscal 2014.
Note 7. Commitments and Contingencies
Lease Commitments
The Company leases certain of its domestic and foreign facilities and certain office equipment under non-cancelable lease agreements. The lease agreements generally require the Company to pay property taxes, insurance, maintenance and repair costs. Rent expenses were $64.4 million, $62.0 million and $58.1 million in fiscal 2013, 2012 and 2011, respectively. The Company charges operating lease payments to expense using the straight-line method. The Company subleases portions of its facilities and records sublease payments as a reduction of rent expense.
On October 15, 2011, the Company agreed to lease two office buildings to be constructed in Mountain View, California. Once construction is complete, the buildings together will provide approximately 341,000 square feet. The lease of such premises begins upon the later of March 1, 2015 or six months after construction is substantially completed, and expires approximately 15 years thereafter and can be extended for an additional 19 years after such initial expiration. The Company may terminate the lease if the lessor fails to substantially complete construction of the buildings by March 1, 2015.
69
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Anticipated future minimum lease payments on all non-cancelable operating leases with a term in excess of one year, net of sublease income, as of October 31, 2013 are as follows:
Minimum Lease Payments | Sublease Income | Net | ||||||||||
(in thousands) | ||||||||||||
Fiscal Year | ||||||||||||
2014 | $ | 46,492 | $ | 877 | $ | 45,615 | ||||||
2015 | 35,951 | 1,428 | 34,523 | |||||||||
2016 | 35,489 | 1,434 | 34,055 | |||||||||
2017 | 32,373 | 1,451 | 30,922 | |||||||||
2018 | 29,502 | 1,494 | 28,008 | |||||||||
Thereafter | 222,943 | 7,004 | 215,939 | |||||||||
|
|
|
|
|
| |||||||
Total | $ | 402,750 | $ | 13,688 | $ | 389,062 | ||||||
|
|
|
|
|
|
Legal Proceedings
The Company is subject to routine legal proceedings, as well as demands, claims and threatened litigation, which arise in the normal course of its business. The ultimate outcome of any litigation is uncertain and unfavorable outcomes could have a negative impact on the Company’s financial position and results of operations. The Company reviews the status of each significant matter and assesses its potential financial exposure. If the potential loss from any claim or legal proceeding is considered probable and the amount is estimable, the Company accrues a liability for the estimated loss. The Company has determined that no disclosure of estimated loss is required for a claim against the Company because: (a) there is not a reasonable possibility that a loss exceeding amounts already recognized (if any) may be incurred with respect to such claim; (b) a reasonably possible loss or range of loss cannot be estimated; or (c) such estimate is immaterial.
On December 5, 2011, plaintiff Dynetix Design Solutions, Inc. (Dynetix) filed a patent infringement lawsuit against the Company in federal district court in the Northern District of California, alleging, among other things, that our VCS functional verification tool, and more specifically our VCS multicore technology, infringed Dynetix’s United States Patent No. 6,466,898. The lawsuit seeks, among other things, compensatory damages and a permanent injunction. The Company asserted declaratory relief counterclaims and patent infringement counterclaims against Dynetix. As a result of certain pre-trial rulings in the Company’s favor, the parties stipulated to entry of judgment in the Company’s favor on Dynetix’s patent infringement claim and on the Company’s counterclaim for a declaration of non-infringement. The court entered the stipulated judgment on September 16, 2013. Dynetix has appealed from the judgment.
The Company acquired Emulation & Verification Engineering S.A. (EVE) on October 4, 2012. At the time of the acquisition, EVE and EVE-USA, Inc. (collectively, the EVE Parties) were defendants in three patent infringement lawsuits filed by Mentor Graphics Corporation (Mentor). Mentor filed suit against the EVE Parties in federal district court in the District of Oregon on August 16, 2010 alleging that EVE’s ZeBu products infringe Mentor’s United States Patent No. 6,876,962. Mentor filed an additional suit in federal district court in the District of Oregon on August 17, 2012 alleging that EVE’s ZeBu products infringe Mentor’s United States Patent No. 6,947,882. Both cases seek compensatory damages and a permanent injunction. Mentor also filed a patent infringement lawsuit against Nihon EVE K.K. in Tokyo District Court in 2010 alleging that EVE’s ZeBu series of products infringes Mentor’s Japanese Patent No. P3,588,324. This case seeks compensatory damages, a permanent injunction and destruction of inventory.
70
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
On September 27, 2012, the Company and the EVE Parties filed an action for declaratory relief against Mentor in federal district court in the Northern District of California, seeking a determination that Mentor’s United States Patents Nos. 6,009,531; 5,649,176 and 6,240,376, which were the subject of a patent infringement lawsuit filed by Mentor against EVE in 2006 and settled in the same year, are invalid and not infringed by EVE’s products, and that Mentor is without right or authority to threaten or maintain suit against the plaintiffs on such patents. Mentor has asserted patent infringement counterclaims in this action based on the same three patents and is seeking compensatory damages and a permanent injunction. In April 2013, this action was transferred to the federal district court in Oregon and consolidated with the two Mentor lawsuits in that district (the Oregon Action).
In the Oregon Action, the Company and the EVE Parties have further asserted patent infringement counterclaims against Mentor based on the Company’s United States Patents Nos. 6,132,109 and 7,069,526, seeking compensatory damages and a permanent injunction.
On September 26, 2012, the Company filed two inter partes review requests with the U.S. Patent and Trademark Office (the PTO) challenging the validity of Mentor’s ‘376 and ‘882 patents. The PTO granted review of the ‘376 patent and denied review of the ‘882 patent.
On December 21, 2012, the Company filed an action for patent infringement against Mentor in federal district court in the Northern District of California, alleging that Mentor’s Veloce products infringe the Company’s United States Patents Nos. 5,748,488, 5,530,841, 5,680,318 and 6,836,420. This case seeks compensatory damages and a permanent injunction.
Note 8. Accumulated Other Comprehensive Income (Loss)
Components of accumulated other comprehensive income (loss), on an after-tax basis where applicable, were as follows:
Year Ended October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Cumulative currency translation adjustments | $ | (26,848 | ) | $ | (14,123 | ) | ||
Unrealized gain (loss) on derivative instruments, net of taxes | (1,847 | ) | (1,338 | ) | ||||
|
|
|
| |||||
Total accumulated other comprehensive income (loss) | $ | (28,695 | ) | $ | (15,461 | ) | ||
|
|
|
|
The effect of amounts reclassified out of each component of accumulated other comprehensive income (loss) into net income was as follows:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Reclassifications from accumulated other comprehensive income (loss) into consolidated statement of operations: | ||||||||||||
Gain (loss) on cash flow hedges, net of taxes | ||||||||||||
Revenues | $ | 7,457 | $ | (1,868 | ) | $ | (8,561 | ) | ||||
Operating expenses | (892 | ) | (12,367 | ) | 6,572 | |||||||
|
|
|
|
|
| |||||||
Total reclassifications into net income | $ | 6,565 | $ | (14,235 | ) | $ | (1,989 | ) | ||||
|
|
|
|
|
|
Amounts reclassified in fiscal 2013, 2012 and 2011 consisted of gains (losses) from the Company’s cash flow hedging activities. Refer toNote 5. Financial Assets and Liabilities.
71
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Note 9. Stock Repurchase Program
The Company’s Board of Directors (Board) approved a stock repurchase program in 2002 pursuant to which the Company was authorized to purchase up to $500.0 million of its common stock, and has periodically replenished the stock repurchase program to such amount. The Company repurchases shares to offset dilution caused by ongoing stock issuances from existing equity plans for equity compensation awards and issuances related to acquisitions, and when management believes it is a good use of cash. Repurchases are transacted in accordance with Rule 10b-18 of the Securities Exchange Act of 1934 (Exchange Act) and may be made through any means including, but not limited to, open market purchases, plans executed under Rule 10b5-1(c) of the Exchange Act and structured transactions. As of October 31, 2013, $127.4 million remained available for further repurchases under the program. The Board replenished the stock repurchase program up to $500.0 million on December 3, 2013.
The following table summarizes stock repurchase activities as well as the reissuance of treasury stock for employee stock compensation purposes:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands, except per share price) | ||||||||||||
Shares repurchased | 3,996 | 2,474 | 15,144 | |||||||||
Average purchase price | $ | 36.29 | $ | 29.64 | $ | 26.53 | ||||||
Aggregate purchase price(1) | $ | 145,016 | $ | 73,335 | $ | 401,836 | ||||||
Reissuance of treasury stock | 7,266 | 10,065 | 9,973 |
(1) | Fiscal 2011 does not include a $33.3 million equity forward contract related to an accelerated share repurchase agreement entered into by the Company in September 2011. The equity forward contract was settled with 1,105,457 shares of the Company’s common stock during the first quarter of fiscal 2012. |
Note 10. Employee Benefit Plans
Employee Stock Purchase Plan
Under the Company’s Employee Stock Purchase Plan (ESPP), employees are granted the right to purchase shares of common stock at a price per share that is 85% of the lesser of the fair market value of the shares at (1) the beginning of a rolling two-year offering period or (2) the end of each semi-annual purchase period, subject to a plan limit on the number of shares that may be purchased in a purchase period.
On April 3, 2012, the Company’s stockholders approved an amendment to the ESPP to increase the number of shares of common stock authorized for issuance under the plan by 5.0 million shares. During fiscal 2013, 2012 and 2011, the Company issued 2.1 million, 2.0 million, and 2.2 million shares, respectively, under the ESPP at average per share prices of $22.75, $21.65 and $17.95, respectively. As of October 31, 2013, 3.7 million shares of common stock were reserved for future issuance under the ESPP.
Equity Compensation Plans
2006 Employee Equity Incentive Plan.On April 25, 2006, the Company’s stockholders approved the 2006 Employee Equity Incentive Plan (2006 Employee Plan), which provides for the grant of incentive stock options, non-statutory stock options, restricted stock awards, restricted stock unit
72
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
awards, stock appreciation rights and other forms of equity compensation, including performance stock awards and performance cash awards, as determined by the plan administrator. The terms and conditions of each type of award are set forth in the 2006 Employee Plan. Options granted under this plan have a contractual term of seven years and generally vest over four years. On April 3, 2013, the Company’s stockholders approved an amendment to increase the number of shares of common stock reserved for future issuance under the 2006 Employee Plan by 5.0 million shares. As of October 31, 2013, an aggregate of 7.7 million stock options and 4.0 million restricted stock units were outstanding, and 8.5 million shares were available for future issuance under the 2006 Employee Plan.
As a result of the stockholders’ approval of the 2006 Employee Plan in 2006, the Company’s 1992 Stock Option Plan, 1998 Non-Statutory Stock Option Plan and 2005 Assumed Stock Option Plan (collectively, the Prior Plans) have been terminated for future grants. Should any options currently outstanding under such Prior Plans and plans assumed by the Company in acquisitions prior to fiscal 2006 (options to purchase 0.2 million shares are outstanding under such plans as of October 31, 2013) be cancelled or expire unexercised, the shares underlying such options shall become available for future grant under the 2006 Employee Plan.
2005 Non-Employee Directors Equity Incentive Plan.On May 23, 2005, the Company’s stockholders approved the 2005 Non-Employee Directors Equity Incentive Plan (the 2005 Directors Plan). The 2005 Directors Plan provides for annual equity awards to non-employee directors in the form of stock options, restricted stock or a combination thereof. The Company’s stockholders have approved an aggregate of 0.8 million shares of common stock reserved under the 2005 Directors Plan.
As of October 31, 2013, the Company has issued an aggregate of 298,947 shares of restricted stock awards with an aggregate grant date fair value of approximately $7.1 million under the 2005 Directors Plan. Restricted stock awards vest over a period of three years. In addition, the Company granted options to purchase 123,649 shares of common stock, which vest over a period of three to four years, with an aggregate grant date fair value of $3.3 million to non-employee directors during fiscal 2007 and fiscal 2011. As of October 31, 2013, 52,595 shares of restricted stock and 82,898 stock options were outstanding and a total of 340,747 shares of common stock were reserved for future grant under the 2005 Directors Plan.
1994 Non-Employee Directors Stock Option Plan. No stock options remained outstanding under the Company’s 1994 Non-Employee Directors Stock Option Plan as of October 31, 2013, which expired as to future grants in October 2004.
Other Assumed Stock Plans through Acquisitions. In connection with the Company’s acquisitions in fiscal 2008, fiscal 2010, and fiscal 2012, the Company assumed certain outstanding share-based awards of acquired companies. If these assumed equity awards are canceled, forfeited or expire unexercised, the underlying shares do not become available for future grant. As of October 31, 2013, 0.5 million shares of the Company’s common stock remained subject to such outstanding assumed equity awards.
Restricted Stock Units.Since fiscal 2007, restricted stock units are granted as part of the Company’s new hire and annual incentive compensation program under the 2006 Employee Plan. Restricted stock units are valued based on the closing price of the Company’s common stock on the grant date. In general, for non-executive officers, restricted stock units vest over three to four years and are subject to the employees’ continuing service to the Company. For each restricted stock unit granted under the 2006 Employee Plan, a share reserve ratio is applied for the purpose of determining the remaining number of shares reserved for future grants under the plan. Prior to the
73
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
second quarter of fiscal 2009, the share reserve ratio was 1.36 for each restricted stock unit granted, and an equivalent of 1.36 shares was deducted from the share reserve for each restricted stock unit issued. Likewise, each forfeited restricted stock unit increased the number of shares available for issuance by the applicable rate at the time of forfeiture. In the second quarter of fiscal 2010, the Company’s stockholders approved an amendment of the 2006 Employee Plan to prospectively change the reserve ratio from 1.36 to 2.18. On March 24, 2011, the stockholders approved an amendment of the 2006 Employee Plan to prospectively change the reserve ratio from 2.18 to 1.25. On April 3, 2012, the stockholders approved amending the share reserve ratio from 1.25 to 1.50.
The following table contains information concerning activities related to restricted stock units:
Restricted Stock Units | Weighted Average Grant Date Fair Value | Weighted Average Remaining Contractual Life (In Years) | Aggregate Fair Value | |||||||||||||
(in thousands, except per share and life amounts) | ||||||||||||||||
Balance at October 31, 2010 | 3,730 | $ | 22.71 | 1.41 | ||||||||||||
Granted | 1,483 | $ | 26.89 | |||||||||||||
Vested(1) | (1,522 | ) | $ | 23.11 | $ | 35,164 | ||||||||||
Forfeited | (237 | ) | $ | 23.49 | ||||||||||||
|
| |||||||||||||||
Balance at October 31, 2011 | 3,454 | $ | 24.28 | 1.48 | ||||||||||||
Granted | 1,813 | $ | 29.52 | |||||||||||||
Assumed(2) | 353 | $ | 30.33 | |||||||||||||
Vested(1) | (1,508 | ) | $ | 24.14 | $ | 36,402 | ||||||||||
Forfeited | (192 | ) | $ | 26.70 | ||||||||||||
|
| |||||||||||||||
Balance at October 31, 2012 | 3,920 | $ | 27.18 | 1.52 | ||||||||||||
Granted | 1,680 | $ | 35.27 | |||||||||||||
Vested(1) | (1,476 | ) | $ | 35.40 | $ | 52,234 | ||||||||||
Forfeited | (141 | ) | $ | 28.36 | ||||||||||||
|
| |||||||||||||||
Balance at October 31, 2013 | 3,983 | $ | 27.51 | 1.51 | ||||||||||||
|
|
(1) | The number of vested restricted stock units includes shares that were withheld on behalf of employees to satisfy the statutory tax withholding requirements. |
(2) | The Company assumed certain restricted stock units outstanding under various plans through acquisitions. |
74
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The following table contains additional information concerning activities related to stock options and restricted stock units under all equity plans, other than shares available for grant under the 2005 Directors Plan:
Available for Grant(3) | Options(2) | |||||||||||||||||||
Options Outstanding | Weighted- Average Exercise Price per Share | Weighted- Average Remaining Contractual Life (In Years) | Aggregate Intrinsic Value | |||||||||||||||||
(in thousands, except per share and life amounts) | ||||||||||||||||||||
Balance at October 31, 2010 | 2,606 | 21,184 | $ | 21.83 | 2.80 | $ | 90,013 | |||||||||||||
Options Granted | (2,228 | ) | 2,270 | $ | 26.07 | |||||||||||||||
Options Exercised | (6,800 | ) | $ | 20.53 | ||||||||||||||||
Options Canceled/forfeited/expired | 550 | (694 | ) | $ | 27.24 | |||||||||||||||
Restricted stock units granted(1) | (2,182 | ) | ||||||||||||||||||
Restricted stock units forfeited(1) | 165 | |||||||||||||||||||
Additional shares reserved | 7,000 | |||||||||||||||||||
|
|
|
| |||||||||||||||||
Balance at October 31, 2011 | 5,911 | 15,960 | $ | 22.76 | 2.97 | $ | 74,068 | |||||||||||||
Options Granted | (1,719 | ) | 1,719 | $ | 28.86 | |||||||||||||||
Options Assumed(2) | 382 | $ | 19.15 | |||||||||||||||||
Options Exercised | (7,103 | ) | $ | 21.09 | ||||||||||||||||
Options Canceled/forfeited/expired | 631 | (739 | ) | $ | 25.07 | |||||||||||||||
Restricted stock units granted(1) | (2,638 | ) | ||||||||||||||||||
Restricted stock units forfeited(1) | 167 | |||||||||||||||||||
Additional shares reserved | 5,000 | |||||||||||||||||||
|
|
|
| |||||||||||||||||
Balance at October 31, 2012 | 7,352 | 10,219 | $ | 24.64 | 3.71 | $ | 80,950 | |||||||||||||
Options Granted | (1,704 | ) | 1,704 | $ | 34.10 | |||||||||||||||
Options Assumed(2) | 158 | $ | 23.60 | |||||||||||||||||
Options Exercised | (4,173 | ) | $ | 24.34 | ||||||||||||||||
Options Canceled/forfeited/expired | 159 | (182 | ) | $ | 24.17 | |||||||||||||||
Restricted stock units granted(1) | (2,519 | ) | ||||||||||||||||||
Restricted stock units forfeited(1) | 184 | |||||||||||||||||||
Additional shares reserved | 5,000 | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
| |||||||||||
Balance at October 31, 2013 | 8,472 | 7,726 | $ | 26.87 | 4.30 | $ | 71,700 | |||||||||||||
Vested and expected to vest as of October 31, 2013 | 7,653 | $ | 26.83 | 4.28 | $ | 71,343 | ||||||||||||||
|
|
|
|
|
| |||||||||||||||
Exercisable at October 31, 2013 | 3,940 | $ | 24.12 | 3.26 | $ | 47,405 | ||||||||||||||
|
|
|
|
|
|
(1) | These amounts do not reflect the actual number of restricted stock units granted or forfeited but rather the effect on the total remaining shares available for future grants after the application of the share reserve ratio. For more information about the share reserve ratio, please seeRestricted Stock Units above. |
(2) | The Company assumed options and stock appreciation rights (SARs) outstanding under various plans through acquisitions. |
(3) | Excluding shares reserved for future issuance under the 2005 Directors Plan. |
75
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The aggregate intrinsic value in the preceding table represents the total pretax intrinsic value based on stock options with an exercise price less than the Company’s closing stock price of $36.15 as of October 31, 2013. The pretax intrinsic value of options exercised and their average exercise prices were:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands, except per share price) | ||||||||||||
Intrinsic value | $ | 46,592 | $ | 63,048 | $ | 42,388 | ||||||
Average exercise price per share | $ | 24.34 | $ | 21.09 | $ | 20.53 |
Restricted stock award activities during fiscal 2013 under the 2005 Directors Plan are summarized as follows:
Restricted Shares | Weighted-Average Grant Date Fair Value | |||||||
(in thousands) | ||||||||
Unvested at October 31, 2012 | 57 | $ | 28.28 | |||||
Granted | 25 | $ | 35.40 | |||||
Vested | (29 | ) | $ | 26.77 | ||||
Forfeited | — | $ | — | |||||
|
| |||||||
Unvested at October 31, 2013 | 53 | $ | 32.48 | |||||
|
|
Valuation and Expense of Stock Compensation. The Company estimates the fair value of stock based awards in the form of stock options, employee stock purchases under employee stock purchase plans, restricted stock, and restricted stock units on the grant date. The value of awards expected to vest is recognized as expense over the applicable service periods. The Company uses the straight-line attribution method to recognize stock compensation costs over the service period of the award. The Company uses the Black-Scholes option-pricing model to determine the fair value of stock options, stock appreciation rights and employee stock purchase plans awards under ASC 718,Compensation—Stock Compensation.The Black-Scholes option-pricing model incorporates various subjective assumptions including expected volatility, expected term and interest rates. The expected volatility for both stock options and stock purchase rights under the ESPP is estimated by a combination of implied volatility for publicly traded options of the Company’s common stock with a term of six months or longer and the historical stock price volatility over the estimated expected term of the Company’s stock-based awards. The expected term of the Company’s stock-based awards is based on historical experience.
76
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The assumptions presented in the following table were used to estimate the fair value of stock options and employee stock purchase rights granted under the Company’s stock plans or stock plans assumed from acquisitions:
Year Ended October 31, | ||||||
2013 | 2012 | 2011 | ||||
Stock Options | ||||||
Expected life (in years) | 4.7 | 1.0 - 4.9 | 4.8 | |||
Risk-free interest rate | 0.62% - 1.66% | 0.22% - 0.95% | 0.96% - 2.28% | |||
Volatility | 20.61% - 26.47% | 22.65% - 29.76% | 26.96% - 30.30% | |||
Weighted average estimated fair value | $7.29 | $8.46 | $7.04 | |||
ESPP | ||||||
Expected life (in years) | 0.5 - 2.0 | 0.5 - 2.0 | 0.5 - 2.0 | |||
Risk-free interest rate | 0.10% - 0.43% | 0.16% - 0.34% | 0.09% - 0.68% | |||
Volatility | 17.12% - 21.75% | 21.95% - 23.20% | 19.48% - 27.08% | |||
Weighted average estimated fair value | $8.19 | $8.02 | $6.82 |
The following table presents stock compensation expense for fiscal 2013, 2012 and 2011, respectively:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Cost of license | $ | 6,597 | $ | 6,927 | $ | 5,658 | ||||||
Cost of maintenance and service | 1,628 | 1,727 | 1,416 | |||||||||
Research and development expense | 32,423 | 32,767 | 26,747 | |||||||||
Sales and marketing expense | 13,983 | 13,566 | 11,068 | |||||||||
General and administrative expense | 12,880 | 16,427 | 11,525 | |||||||||
|
|
|
|
|
| |||||||
Stock compensation expense before taxes | 67,511 | 71,414 | 56,414 | |||||||||
Income tax benefit | (16,446 | ) | (15,989 | ) | (14,798 | ) | ||||||
|
|
|
|
|
| |||||||
Stock compensation expense after taxes | $ | 51,065 | $ | 55,425 | $ | 41,616 | ||||||
|
|
|
|
|
|
As of October 31, 2013, the Company had $121.1 million of total unrecognized stock compensation expense relating to options and restricted stock units and awards, which is expected to be recognized over a weighted average period of 2.5 years.
The cash flows resulting from the tax benefits for tax deductions in excess of the compensation expense recorded for the options (excess tax benefits) are classified as cash flows from financing activities. The Company has not recorded any excess tax benefits in fiscal periods 2013, 2012 and 2011.
Deferred Compensation Plan.The Company maintains the Synopsys Deferred Compensation Plan (the Deferred Plan), which permits eligible employees to defer up to 50% of their annual cash base compensation and up to 100% of their eligible cash variable compensation. Amounts may be withdrawn from the Deferred Plan pursuant to elections made by the employees in accordance with the terms of the plan. Since the inception of the Deferred Plan, the Company has not made any matching or discretionary contributions to the Deferred Plan. There are no Deferred Plan provisions that provide
77
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
for any guarantees or minimum return on investments. Undistributed amounts under the Deferred Plan are subject to the claims of the Company’s creditors. The securities held by the Deferred Plan are classified as trading securities.
Deferred Plan Assets and Liabilities are as follows:
As of October 31, 2013 | As of October 31, 2012 | |||||||
(In thousands) | ||||||||
Plan assets recorded in other long-term assets | $ | 126,621 | $ | 100,645 | ||||
Plan liabilities recorded in other long-term liabilities(1) | $ | 126,621 | $ | 100,645 |
(1) | For undistributed deferred compensation due to participants. |
Income or loss from the change in fair value of the Deferred Plan assets is recorded in other income (expense), net. The increase or decrease in the fair value of the undistributed Deferred Plan obligation is recorded in total cost of revenue and operating expense. The following table summarizes the impact of the Deferred Plan:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Increase (reduction) to cost of revenue and operating expense | $ | 18,453 | $ | 7,498 | $ | 2,449 | ||||||
Other income (expense), net | 18,453 | 7,498 | 2,449 | |||||||||
|
|
|
|
|
| |||||||
Net increase (decrease) to net income | $ | — | $ | — | $ | — | ||||||
|
|
|
|
|
|
Other Retirement Plans.The Company sponsors various retirement plans for its eligible U.S. and non-U.S. employees. Total contributions to these plans were $21.3 million, $23.2 million and $21.4 million in fiscal 2013, 2012 and 2011, respectively. For employees in the United States and Canada, the Company matches pretax employee contributions up to a maximum of US $1,500 and Canadian $4,000, respectively, per participant per year.
Note 11. Income Taxes
The domestic and foreign components of the Company’s total income before provision for income taxes are as follows:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
United States | $ | 61,818 | $ | 39,855 | $ | 40,434 | ||||||
Foreign | 213,848 | 161,280 | 178,679 | |||||||||
|
|
|
|
|
| |||||||
$ | 275,666 | $ | 201,135 | $ | 219,113 | |||||||
|
|
|
|
|
|
78
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The components of the (benefit) provision for income taxes were as follows:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Current: | ||||||||||||
Federal | $ | 11,692 | $ | (12,443 | ) | $ | (6,436 | ) | ||||
State | (5,949 | ) | (547 | ) | (2,197 | ) | ||||||
Foreign | 29,428 | 6,826 | 474 | |||||||||
|
|
|
|
|
| |||||||
35,171 | (6,164 | ) | (8,159 | ) | ||||||||
Deferred: | ||||||||||||
Federal | 4,969 | 22,506 | (7,160 | ) | ||||||||
State | 933 | 14 | (2,456 | ) | ||||||||
Foreign | (13,207 | ) | 2,377 | 15,524 | ||||||||
|
|
|
|
|
| |||||||
(7,305 | ) | 24,897 | 5,908 | |||||||||
|
|
|
|
|
| |||||||
Provision (Benefit) for income taxes | $ | 27,866 | $ | 18,733 | $ | (2,251 | ) | |||||
|
|
|
|
|
|
The provision (benefit) for income taxes differs from the taxes computed with the statutory federal income tax rate as follows:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Statutory federal tax | $ | 96,483 | $ | 70,397 | $ | 76,689 | ||||||
State tax (benefit), net of federal effect | (2,697 | ) | 1,078 | (4,988 | ) | |||||||
Tax credits(1) | (24,972 | ) | (4,289 | ) | (19,042 | ) | ||||||
Tax on foreign earnings less than U.S. statutory tax | (36,670 | ) | (21,288 | ) | (28,968 | ) | ||||||
Deferred tax reversal resulting from merger of foreign affiliate | (6,808 | ) | — | — | ||||||||
Tax settlements | (1,130 | ) | (36,882 | ) | (32,782 | ) | ||||||
Stock based compensation | 4,671 | 9,016 | 7,817 | |||||||||
Changes in valuation allowance | (776 | ) | 10 | 49 | ||||||||
Other | (235 | ) | 691 | (1,026 | ) | |||||||
|
|
|
|
|
| |||||||
$ | 27,866 | $ | 18,733 | $ | (2,251 | ) | ||||||
|
|
|
|
|
|
(1) | As a result of the reinstatement of the federal research and development tax credit in fiscal year 2013, the Company reflected a benefit of approximately $19 million in the above amount for the period January 1, 2012 through October 31, 2013. The federal research tax credit is scheduled to expire on December 31, 2013. |
79
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The significant components of deferred tax assets and liabilities were as follows:
October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Net deferred tax assets: | ||||||||
Deferred tax assets: | ||||||||
Accruals and reserves | $ | 35,548 | $ | 30,317 | ||||
Deferred revenue | 36,551 | 46,247 | ||||||
Deferred compensation | 45,662 | 39,186 | ||||||
Capitalized costs | 84,390 | 94,031 | ||||||
Capitalized research and development costs | 36,650 | 48,059 | ||||||
Stock compensation | 16,790 | 21,229 | ||||||
Tax loss carryovers | 63,869 | 73,492 | ||||||
Foreign tax credit carryovers | 3,532 | 10,766 | ||||||
Research and other tax credit carryovers | 108,044 | 88,973 | ||||||
Other | 3,736 | 2,449 | ||||||
|
|
|
| |||||
Gross deferred tax assets | 434,772 | 454,749 | ||||||
Valuation allowance | (32,945 | ) | (26,259 | ) | ||||
|
|
|
| |||||
Total deferred tax assets | 401,827 | 428,490 | ||||||
Deferred tax liabilities: | ||||||||
Intangible assets | 82,662 | 116,639 | ||||||
Undistributed earnings of foreign subsidiaries | 11,982 | 831 | ||||||
|
|
|
| |||||
Total deferred tax liabilities | 94,644 | 117,470 | ||||||
|
|
|
| |||||
Net deferred tax assets | $ | 307,183 | $ | 311,020 | ||||
|
|
|
|
The valuation allowance increased by $6.7 million, related principally to state research credits. It is more likely than not that the results of future operations will generate sufficient taxable income to realize the remaining deferred tax assets.
The Company has the following tax loss and credit carryforwards available to offset future income tax liabilities:
Carryforward | Amount | Expiration Date | ||||||
(in thousands) | ||||||||
Federal net operating loss carryforward | $ | 137,576 | 2018-2030 | |||||
Federal research credit carryforward | 117,257 | 2018-2033 | ||||||
Foreign tax credit carryforward | 6,654 | 2018-2021 | ||||||
California research credit carryforward | 112,167 | Indefinite | ||||||
Other state research credit carryforward | 8,079 | 2014-2032 | ||||||
State net operating loss carryforward | 164,385 | 2014-2031 |
The federal and state net operating loss carryforward is from acquired companies and the annual use of such loss is subject to significant limitations under Internal Revenue Code Section 382. Foreign tax credits may only be used to offset tax attributable to foreign source income.
80
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The Company has unrecognized deferred tax assets of approximately $60.7 million as of October 31, 2013 attributable to excess tax deductions related to stock options, the benefit of which will be credited to equity when realized.
The Company has not provided taxes for undistributed earnings of its foreign subsidiaries except to the extent that the Company does not plan to reinvest such earnings indefinitely outside the United States. If the cumulative foreign earnings exceed the amount the Company intends to reinvest in foreign countries in the future, the Company would provide for taxes on such excess amount. As of October 31, 2013, there were approximately $765.2 million of earnings upon which U.S. income taxes of approximately $168.8 million have not been provided for.
The gross unrecognized tax benefits increased by approximately $8.1 million during fiscal 2013 resulting in gross unrecognized tax benefits of $117.8 million as of October 31, 2013. A reconciliation of the beginning and ending balance of gross unrecognized tax benefits is summarized as follows:
As of October 31, 2013 | As of October 31, 2012 | |||||||
(in thousands) | ||||||||
Beginning balance | $ | 109,680 | $ | 177,893 | ||||
Increases in unrecognized tax benefits related to prior year tax positions | 4,189 | 6,053 | ||||||
Decreases in unrecognized tax benefits related to prior year tax positions | (3,328 | ) | (35,010 | ) | ||||
Increases in unrecognized tax benefits related to current year tax positions | 14,128 | 9,431 | ||||||
Decreases in unrecognized tax benefits related to settlements with taxing authorities | (4,967 | ) | (80,137 | ) | ||||
Reductions in unrecognized tax benefits due to lapse of applicable statute of limitations | (7,728 | ) | (3,499 | ) | ||||
Increases in unrecognized tax benefits acquired | 5,718 | 35,171 | ||||||
Changes in unrecognized tax benefits due to foreign currency translation | 68 | (222 | ) | |||||
|
|
|
| |||||
$ | 117,760 | $ | 109,680 | |||||
|
|
|
|
As of October 31, 2013 and 2012, approximately $117.8 million and $75.3 million, respectively, of the unrecognized tax benefits would affect our effective tax rate if recognized upon resolution of the uncertain tax positions.
Interest and penalties related to estimated obligations for tax positions taken in the Company’s tax returns are recognized as a component of income tax expense (benefit) in the consolidated statements of operations and totaled approximately $0.2 million, $(5.8) million and $2.8 million for fiscal 2013, 2012 and 2011, respectively. As of October 31, 2013 and 2012, the combined amount of accrued interest and penalties related to tax positions taken on the Company’s tax returns was approximately $0.8 million and $0.6 million, respectively.
The timing of the resolution of income tax examinations is highly uncertain as well as the amounts and timing of various tax payments that are part of the settlement process. This could cause large fluctuations in the balance sheet classification of current and non-current assets and liabilities. The Company believes that in the coming 12 months, it is reasonably possible that either certain audits will
81
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
conclude or the statute of limitations on certain state and foreign income and withholding taxes will expire, or both. Given the uncertainty as to ultimate settlement terms, the timing of payment and the impact of such settlements on other uncertain tax positions, the range of the estimated potential decrease in underlying unrecognized tax benefits is between $0 and $35 million.
The Company and/or its subsidiaries remain subject to tax examination in the following jurisdictions:
Jurisdiction | Year(s) Subject to Examination | |
United States—Synopsys | Fiscal 2013 | |
United States—Magma Design Automation | Fiscal years after 2009 | |
California—Synopsys | Fiscal years after 2008 | |
California—Magma Design Automation | Fiscal years after 2009 | |
Hungary | Fiscal years after 2006 | |
Taiwan and Japan | Fiscal years after 2007 | |
Ireland | Fiscal years after 2008 |
In addition, the Company has made acquisitions with operations in several of its significant jurisdictions which may have years subject to examination different from the years indicated in the above table.
IRS Examinations
In the third and fourth quarter of fiscal year 2013, the Company reached settlement with the Examination Division of the IRS for its audit of certain fiscal year 2012 issues, which resulted in a decrease in unrecognized tax benefits of $6.0 million, decrease in deferred tax assets of $4.9 million and a $1.1 million net tax benefit. On November 6th, 2013, subsequent to year end, the Company reached final settlement on the remaining fiscal 2012 issues and will recognize approximately $10 million in unrecognized tax benefits in the first quarter of fiscal year 2014.
In the third quarter of fiscal 2012, the Company reached a final settlement with the IRS for its audits of fiscal years 2010 and 2011. As a result of the settlement, the Company’s unrecognized tax benefits decreased by $24.7 million and the impact to other balance sheet tax accounts was not material. The net tax benefit resulting from the settlement was $15.9 million.
In the second quarter of fiscal 2011, the Company reached a final settlement with the IRS for its audits of fiscal years 2006 through 2009. As a result of the settlement, the Company’s unrecognized tax benefits decreased by $35.9 million and the impact to other balance sheet tax accounts was not material. The net tax benefit resulting from the settlement was $32.8 million.
The audit of certain returns filed by Synplicity, Inc. prior to its acquisition by the Company in May 2008 was finalized in the first quarter of fiscal 2011, which resulted in a decrease in unrecognized tax benefits of $4.0 million.
Non-U.S. Examinations
Taiwan
On June 21, 2012, the Company reached a settlement with the Taiwan tax authorities for fiscal 2008 with regard to certain transfer pricing issues. As a result of the settlement and the application of the settlement to other open fiscal years, the Company’s unrecognized tax benefits decreased by $16.5 million. The net tax benefit resulting from the settlement and the application to other open fiscal years was $14.7 million.
82
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
Certain of the Company’s income tax returns in Taiwan are under review for fiscal years 2009 through 2012. The Company believes that it has adequately provided for potential tax adjustments, including interest and potential penalties.
Hungary
On March 5, 2012, the Company reached a settlement with the Hungarian tax authorities with regard to its fiscal years 2006 through 2008. The settlement resulted in a $5.1 million cash payment.
On May 10, 2012 the Company reached a settlement with the Hungarian tax authorities for fiscal years 2009 and 2010. The settlement resulted in a $6.3 million benefit principally from interest in the second quarter, a $3.2 million reduction to prepaid taxes in the third quarter, and a cash payment of $10.9 million in the fourth quarter of fiscal 2012.
The settlements of fiscal years 2006 through 2010 reduced unrecognized tax benefits by $27.0 million and $24.2 million in the second and third quarter of fiscal 2012, respectively.
Note 12. Other Income (Expense), Net
The following table presents the components of other income (expense), net:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Interest income | $ | 1,891 | $ | 1,567 | $ | 2,117 | ||||||
Interest expense | (1,696 | ) | (1,991 | ) | (101 | ) | ||||||
Gain (loss) on assets related to deferred compensation plan | 18,453 | 7,498 | 2,426 | |||||||||
Foreign currency exchange gain (loss) | 6,026 | 1,676 | 1,655 | |||||||||
Other, net | 4,499 | 2,361 | 173 | |||||||||
|
|
|
|
|
| |||||||
Total | $ | 29,173 | $ | 11,111 | $ | 6,270 | ||||||
|
|
|
|
|
|
Note 13. Segment Disclosure
ASC 280,Segment Reporting, requires disclosures of certain information regarding operating segments, products and services, geographic areas of operation and major customers. Segment reporting is based upon the “management approach,” i.e., how management organizes the Company’s operating segments for which separate financial information is (1) available and (2) evaluated regularly by the Chief Operating Decision Makers (CODMs) in deciding how to allocate resources and in assessing performance. Synopsys’ CODMs are the Company’s two Co-Chief Executive Officers.
83
Table of Contents
SYNOPSYS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued
The Company provides software products and consulting services in the EDA software industry. The Company operates in a single segment. In making operating decisions, the CODMs primarily consider consolidated financial information, accompanied by disaggregated information about revenues by geographic region. Specifically, the CODMs consider where individual “seats” or licenses to the Company’s products are located in allocating revenue to particular geographic areas. Revenue is defined as revenues from external customers. Goodwill is not allocated since the Company operates in one reportable operating segment. Revenues and property and equipment, net, related to operations in the United States and other by geographic areas were:
Year Ended October 31, | ||||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Revenue: | ||||||||||||
United States | $ | 939,749 | $ | 834,191 | $ | 714,036 | ||||||
Europe | 273,041 | 225,797 | 207,071 | |||||||||
Japan | 264,141 | 289,420 | 275,174 | |||||||||
Asia Pacific and Other | 485,283 | 406,609 | 339,362 | |||||||||
|
|
|
|
|
| |||||||
Consolidated | $ | 1,962,214 | $ | 1,756,017 | $ | 1,535,643 | ||||||
|
|
|
|
|
|
As of October 31, | ||||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Property and Equipment, net: | ||||||||
United States | $ | 133,310 | $ | 133,148 | ||||
Other countries | 64,290 | 58,095 | ||||||
|
|
|
| |||||
Total | $ | 197,600 | $ | 191,243 | ||||
|
|
|
|
Geographic revenue data for multiregional, multi-product transactions reflect internal allocations and are therefore subject to certain assumptions and to the Company’s methodology.
One customer, in the aggregate, accounted for 11.3%, 10.5%, and 10.6% of the Company’s consolidated revenue in fiscal 2013, 2012 and 2011, respectively.
84
Table of Contents
Item 9. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure |
Not applicable.
(a) | Evaluation of Disclosure Controls and Procedures.As of October 31, 2013, Synopsys carried out an evaluation under the supervision and with the participation of Synopsys’ management, including the Co-Chief Executive Officers and Chief Financial Officer, of the effectiveness of the design and operation of Synopsys’ disclosure controls and procedures (as such term is defined in Rules 13a-15(e) and 15d-15(e) under the Exchange Act). There are inherent limitations to the effectiveness of any system of disclosure controls and procedures. Accordingly, even effective disclosure controls and procedures can only provide reasonable, not absolute, assurance of achieving their control objectives. Our Co-Chief Executive Officers and Chief Financial Officer have concluded that, as of October 31, 2013, Synopsys’ disclosure controls and procedures were effective to provide reasonable assurance that information required to be disclosed in the reports Synopsys files and submits under the Exchange Act is recorded, processed, summarized and reported as and when required, and that such information is accumulated and communicated to Synopsys’ management, including the Co-Chief Executive Officers and Chief Financial Officer, to allow timely decisions regarding its required disclosure. |
(b) | Management’s Report on Internal Control Over Financial Reporting. Our management is responsible for establishing and maintaining adequate internal control over financial reporting (as defined in Rules 13a-15(f) and 15d-15(f) under the Exchange Act) for Synopsys. |
Under the supervision and with the participation of our management, including our Co-Chief Executive Officers and Chief Financial Officer, we conducted an evaluation of the effectiveness of our internal control over financial reporting as of October 31, 2013. In assessing the effectiveness of our internal control over financial reporting, our management used the framework established inInternal Control Integrated Framework (1992) issued by The Committee of Sponsoring Organizations of the Treadway Commission (COSO). |
Our management has concluded that, as of October 31, 2013, our internal control over financial reporting was effective based on these criteria. Our independent registered public accounting firm, KPMG LLP, has issued an auditors’ report on the effectiveness of our internal control over financial reporting, which is included herein. |
(c) | Changes in Internal Control Over Financial Reporting.There were no changes in Synopsys’ internal control over financial reporting during the fiscal quarter ended October 31, 2013 that have materially affected, or are reasonably likely to materially affect, Synopsys’ internal control over financial reporting. |
None.
85
Table of Contents
Item 10. Directors, Executive Officers and Corporate Governance |
For information with respect to our executive officers, seeExecutive Officers of the Registrant in Part I, Item 1 of this Annual Report.
All other information required by this Item is incorporated by reference herein from our definitive Proxy Statement for the 2014 Annual Meeting of Stockholders (the Proxy Statement) scheduled to be held on April 2, 2014, provided under the headings “Proposal 1: Election of Directors,” “Audit Committee Report,” “Corporate Governance,” and “Section 16(a) Beneficial Ownership Reporting Compliance.”
The information required by this Item is incorporated herein by reference from the Proxy Statement, provided under the headings “Executive Compensation and Related Information” (and all subheadings thereunder), “Compensation Committee Interlocks and Insider Participation,” and “Compensation Committee Report.”
Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters |
The information required by this Item is incorporated herein by reference from the Proxy Statement, provided under the headings “Equity Compensation Plan Information” and “Security Ownership of Certain Beneficial Owners and Management.”
Item 13. Certain Relationships and Related Transactions and Director Independence |
The information required by this Item is incorporated herein by reference from the Proxy Statement, provided under the headings “Review, Approval or Ratification of Transactions with Related Persons,” “Certain Relationships and Related Transactions,” and “Corporate Governance” (under the subheading “Director Independence”).
The information required by this Item is incorporated herein by reference from the Proxy Statement, provided under the heading “Proposal 6—Ratification of Selection of Independent Registered Public Accounting Firm.”
86
Table of Contents
(a) | The following documents are filed as part of this Form 10-K: |
(1) | Financial Statements |
The following documents are included as Part II, Item 8 of this Form 10-K:
Page | ||||
45 | ||||
46 | ||||
47 | ||||
48 | ||||
49 | ||||
50 | ||||
51 |
(2) | Financial Statement Schedules |
None.
(3) | Exhibits |
See Item 15(b) below.
(b) | Exhibits |
87
Table of Contents
EXHIBIT INDEX
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
2.1 | Agreement and Plan of Merger among Synopsys, Inc., Magma Design Automation, Inc. and Lotus Acquisition Corp. dated November 30, 2011 | 8-K | 000-19807 | 2.1 | 12/01/11 | |||||||
3.1 | Amended and Restated Certificate of Incorporation | 10-Q | 000-19807 | 3.1 | 09/15/03 | |||||||
3.2 | Amended and Restated Bylaws | 8-K | 000-19807 | 3.2 | 05/23/12 | |||||||
4.1 | Specimen Common Stock Certificate | S-1 | 33-45138 | 4.3 | 02/24/92 (effective date) | |||||||
10.1 | Form of Indemnification Agreement for directors and executive officers | 8-K | 000-19807 | 99.2 | 07/14/11 | |||||||
10.2 | Director’s and Officer’s Insurance and Company Reimbursement Policy | S-1 | 33-45138 | 10.2 | 02/24/92 (effective date) | |||||||
10.3 | Lease Agreement, dated August 17, 1990, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The August 17, 1990 Lease”) | S-1 | 33-45138 | 10.6 | 02/24/92 (effective date) | |||||||
10.3(i) | Amendment No. 1 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.13 | 12/21/07 | |||||||
10.3(ii) | Amendment No. 2 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.3(ii) | 12/20/12 | |||||||
10.3(iii) | Amendment No. 3 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.12 | 12/21/07 | |||||||
10.3(iv) | Amendment No. 4 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.3(iv) | 12/20/12 | |||||||
10.3(v) | Amendment No. 5 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.11 | 12/21/07 | |||||||
10.3(vi) | Amendment No. 6 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.10 | 12/21/07 | |||||||
10.4* | Deferred Compensation Plan as restated effective August 1, 2002 | 10-Q | 000-19807 | 10.5 | 06/10/04 | |||||||
10.5 | Lease Agreement, dated June 16, 1992, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The June 16, 1992 Lease”) | 10-K | 000-19807 | 10.15 | Fiscal year ended September 30, 1992 |
88
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.5(i) | Amendment No. 1 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.5(i) | 12/20/12 | |||||||
10.5(ii) | Amendment No. 2 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.5(ii) | 12/20/12 | |||||||
10.5(iii) | Amendment No. 3 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.15 | 12/21/07 | |||||||
10.5(iv) | Amendment No. 4 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.14 | 12/21/07 | |||||||
10.6 | Lease Agreement, dated June 23, 1993, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The June 23, 1993 Lease”) | 10-K | 000-19807 | 10.16 | Fiscal year ended September 30,1993 | |||||||
10.6(i) | Amendment No. 1 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.6(i) | 12/20/12 | |||||||
10.6(ii) | Amendment No. 2 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.17 | 12/21/07 | |||||||
10.6(iii) | Amendment No. 3 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.16 | 12/21/07 | |||||||
10.7 | Lease Agreement, dated August 24, 1995, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The August 24, 1995 Lease”) | 10-K | 000-19807 | 10.21 | Fiscal year ended September 30, 1995 | |||||||
10.7(i) | Amendment No. 1 to The August 24, 1995 Lease | 10-K | 000-19807 | 10.18 | 12/21/07 | |||||||
10.8 | Lease Agreement, dated January 2, 1996 between Synopsys, Inc. and Tarigo-Paul, a California Limited Partnership, (“The January 2, 1996 Lease”) | 10-Q | 000-19807 | 10.28 | 05/14/96 | |||||||
10.8(i) | First Amendment to The January 2, 1996 Lease | 8-K | 000-19807 | 10.42 | 09/12/06 | |||||||
10.8(ii) | Second Amendment to The January 2, 1996 Lease | 8-K | 000-19807 | 10.41 | 09/12/06 | |||||||
10.8(iii) | Third Amendment to The January 2, 1996 Lease | 10-K | 000-19807 | 10.8(iii) | 12/20/12 | |||||||
10.8(iv) | Fourth Amendment to The January 2, 1996 Lease | 10-K | 000-19807 | 10.8(iv) | 12/20/12 |
89
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.8(v)† | Notification of Change of Ownership of Leased Premises under The January 2, 1996 Lease—Effective September 25, 2012 | 10-K | 000-19807 | 10.8(v) | 12/20/12 | |||||||
10.9 | Notification of Change of Ownership of Leased Premises—Effective April 25, 2006, notifying Synopsys, Inc. of the change of ownership under multiple leases | 10-K | 000-19807 | 10.20 | 12/21/07 | |||||||
10.10 | Lease Agreement dated October 14, 2011 between Synopsys, Inc. and 690 E. Middlefield Road Fee, LLC, (“The October 14, 2011 Lease”) | 10-K | 000-19807 | 10.19 | 12/16/11 | |||||||
10.10(i)† | Notification of Change of Ownership of Leased Premises under The October 14, 2011 Lease—Effective May 9, 2012 | 10-K | 000-19807 | 10.10(i) | 12/20/12 | |||||||
10.10(ii) | First Amendment to The October 14, 2011 Lease | 10-Q | 000-19807 | 10.10(ii) | 3/4/13 | |||||||
10.11* | 1992 Stock Option Plan, as amended and restated | 10-K | 000-19807 | 10.29 | 01/25/02 | |||||||
10.12* | Employee Stock Purchase Plan, as amended | 8-K | 000-19807 | 10.21 | 04/05/12 | |||||||
10.13* | Synopsys Amended and Restated Deferred Compensation Plan II | 10-Q | 000-19807 | 10.23 | 3/09/09 | |||||||
10.14* | 1994 Non-Employee Directors Stock Option Plan, as amended and restated | 10-Q | 000-19807 | 10.1 | 09/15/03 | |||||||
10.15* | 1998 Nonstatutory Stock Option Plan | S-8 | 333-90643 | 10.1 | 11/09/99 | |||||||
10.16 | Amended and Restated Credit Agreement, dated February 17, 2012, among Synopsys as Borrower, the several Lenders from time to time parties thereto, Bank of America, N.A. and Wells Fargo Bank, N.A. as Co-Syndication Agents, HSBC Bank USA, N.A. and Union Bank, N.A. as Co-Documentation Agents, JPMorgan Chase Bank, N.A., as Administrative Agent, and J.P. Morgan Securities LLC, Merrill Lynch, Pierce, Fenner & Smith Incorporated and Wells Fargo Securities, LLC, as Co-Lead Arrangers and Co-Bookrunners | 8-K | 000-19807 | 10.45 | 02/22/12 | |||||||
10.17* | Form of Stock Option Agreement under 1992 Stock Option Plan | 10-K | 000-19807 | 10.27 | 01/12/05 | |||||||
10.18* | Non-Employee Director Compensation Arrangements | 10-K | 000-19807 | 10.27 | 12/17/10 | |||||||
10.19* | 2005 Non-Employee Director Equity Incentive Plan, as amended | 8-K | 000-19807 | 10.30 | 03/31/10 | |||||||
10.20* | Synopsys, Inc. 2005 Assumed Stock Option Plan | 8-K | 000-19807 | 10.34 | 09/12/05 | |||||||
10.21* | Form of Amended and Restated Executive Change of Control Severance Benefit Plan | 10-K | 000-19807 | 10.32 | 12/22/08 | |||||||
10.22* | Form of Restricted Stock Grant Notice and Award Agreement under 2005 Non-Employee Directors Equity Incentive Plan | 10-K | 000-19807 | 10.33 | 12/16/11 |
90
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.23* | Form of Stock Options Grant Notice and Option Agreement under 2005 Non-Employee Directors Equity Incentive Plan | 10-K | 000-19807 | 10.34 | 12/16/11 | |||||||
10.24* | 2006 Employee Equity Incentive Plan, as amended | 8-K | 000-19807 | 10.24 | 04/08/13 | |||||||
10.25* | Form of Restricted Stock Unit Grant Notice and Award Agreement under 2006 Employee Equity Incentive Plan | X | ||||||||||
10.26* | Form of Notice of Grant of Stock Options and Option Agreement under 2006 Employee Equity Incentive Plan | X | ||||||||||
10.27* | Executive Incentive Plan 162(m) | 8-K | 000-19807 | 10.42 | 01/28/10 | |||||||
10.28* | Amended and Restated Employment Agreement, dated June 2, 2008, between Synopsys, Inc. and Dr. Aart de Geus | 8-K | 000-19807 | 10.50 | 06/03/08 | |||||||
10.29* | Amended and Restated Employment Agreement, dated June 2, 2008, between Synopsys, Inc. and Dr. Chi-Foon Chan | 8-K | 000-19807 | 10.51 | 06/03/08 | |||||||
10.30* | Compensation Recovery Policy | 10-K | 000-19807 | 10.46 | 12/22/08 | |||||||
21.1 | Subsidiaries of Synopsys, Inc. | X | ||||||||||
23.1 | Consent of KPMG LLP, Independent Registered Public Accounting Firm | X | ||||||||||
24.1 | Power of Attorney (see signature page to this Annual Report on Form 10-K) | X | ||||||||||
31.1 | Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
31.2 | Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
31.3 | Certification of Chief Financial Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
32.1 | Certification of Co-Chief Executive Officers and Chief Financial Officer furnished pursuant to Rule 13a-14(b) orRule 15d-14(b) of the Exchange Act and Section 1350 of Chapter 63 of Title 18 of the United States Code | X | ||||||||||
101.INS | XBRL Instance Document | X | ||||||||||
101.SCH | XBRL Taxonomy Extension Schema Document | X | ||||||||||
101.CAL | XBRL Taxonomy Extension Calculation Linkbase Document | X | ||||||||||
101.DEF | XBRL Taxonomy Extension Definition Linkbase Document | X | ||||||||||
101.LAB | XBRL Taxonomy Extension Label Linkbase Document | X | ||||||||||
101.PRE | XBRL Taxonomy Extension Presentation Linkbase Document | X |
* | Indicates a management contract, compensatory plan or arrangement. |
† | We have requested confidential treatment for certain portions of this document pursuant to an application for confidential treatment sent to the SEC. We omitted such portions from this filing and filed them separately with the SEC. |
91
Table of Contents
Pursuant to the requirements of section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.
SYNOPSYS, INC. | ||||||
Date: December 19, 2013 | By: | /s/ Brian M. Beattie | ||||
Brian M. Beattie Chief Financial Officer (Principal Financial Officer) |
92
Table of Contents
POWER OF ATTORNEY
KNOW ALL PERSONS BY THESE PRESENTS, that each person whose signature appears below constitutes and appoints Aart J. de Geus, Chi-Foon Chan and Brian M. Beattie, and each of them, as his true and lawful attorneys-in-fact and agents, with full power of substitution and reconstitution, for him and in his name, place and stead, in any and all capacities, to sign any and all amendments (including post-effective amendments) to this Annual Report on Form 10-K, and to file the same, with all exhibits thereto, and other documents in connection therewith, with the Securities and Exchange Commission, granting unto said attorneys-in-fact and agents, and each of them, full power and authority to do and perform each and every act and thing requisite and necessary to be done in connection therewith, as fully to all intents and purposes as he might or could do in person, hereby ratifying and confirming all that said attorneys-in-fact and agents, or any of them, or their or his substitute or substitutes, may lawfully do or cause to be done by virtue hereof.
Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of the registrant and in the capacities and on the dates indicated:
Name | Title | Date | ||
/S/ AART J.DE GEUS Aart J. de Geus | Co-Chief Executive Officer(Co-Principal Executive Officer) and Chairman of the Board of Directors | December 19, 2013 | ||
/S/ CHI-FOON CHAN Chi-Foon Chan | Co-Chief Executive Officer(Co-Principal Executive Officer), President and Director | December 19, 2013 | ||
/S/ BRIAN M. BEATTIE Brian M. Beattie | Chief Financial Officer (Principal Financial Officer) | December 19, 2013 | ||
/S/ ESFANDIAR NADDAF Esfandiar Naddaf | Vice President, Corporate Controller (Principal Accounting Officer) | December 19, 2013 | ||
/S/ ALFRED J. CASTINO Alfred J. Castino | Director | December 19, 2013 | ||
/S/ BRUCE R. CHIZEN Bruce R. Chizen | Director | December 19, 2013 | ||
/S/ DEBORAH A. COLEMAN Deborah A. Coleman | Director | December 19, 2013 | ||
/S/ CHRYSOSTOMOS L. NIKIAS Chrysostomos L. Nikias | Director | December 19, 2013 | ||
/S/ JOHN G. SCHWARZ John G. Schwarz | Director | December 19, 2013 | ||
/S/ ROY VALLEE Roy Vallee | Director | December 19, 2013 | ||
/S/ STEVEN C. WALSKE Steven C. Walske | Director | December 19, 2013 |
93
Table of Contents
EXHIBIT INDEX
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
2.1 | Agreement and Plan of Merger among Synopsys, Inc., Magma Design Automation, Inc. and Lotus Acquisition Corp. dated November 30, 2011 | 8-K | 000-19807 | 2.1 | 12/01/11 | |||||||
3.1 | Amended and Restated Certificate of Incorporation | 10-Q | 000-19807 | 3.1 | 09/15/03 | |||||||
3.2 | Amended and Restated Bylaws | 8-K | 000-19807 | 3.2 | 05/23/12 | |||||||
4.1 | Specimen Common Stock Certificate | S-1 | 33-45138 | 4.3 | 02/24/92 (effective date) | |||||||
10.1 | Form of Indemnification Agreement for directors and executive officers | 8-K | 000-19807 | 99.2 | 07/14/11 | |||||||
10.2 | Director’s and Officer’s Insurance and Company Reimbursement Policy | S-1 | 33-45138 | 10.2 | 02/24/92 (effective date) | |||||||
10.3 | Lease Agreement, dated August 17, 1990, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The August 17, 1990 Lease”) | S-1 | 33-45138 | 10.6 | 02/24/92 (effective date) | |||||||
10.3(i) | Amendment No. 1 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.13 | 12/21/07 | |||||||
10.3(ii) | Amendment No. 2 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.3(ii) | 12/20/12 | |||||||
10.3(iii) | Amendment No. 3 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.12 | 12/21/07 | |||||||
10.3(iv) | Amendment No. 4 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.3(iv) | 12/20/12 | |||||||
10.3(v) | Amendment No. 5 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.11 | 12/21/07 | |||||||
10.3(vi) | Amendment No. 6 to The August 17, 1990 Lease | 10-K | 000-19807 | 10.10 | 12/21/07 | |||||||
10.4* | Deferred Compensation Plan as restated effective August 1, 2002 | 10-Q | 000-19807 | 10.5 | 06/10/04 | |||||||
10.5 | Lease Agreement, dated June 16, 1992, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The June 16, 1992 Lease”) | 10-K | 000-19807 | 10.15 | Fiscal year ended September 30, 1992 | |||||||
10.5(i) | Amendment No. 1 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.5(i) | 12/20/12 |
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.5(ii) | Amendment No. 2 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.5(ii) | 12/20/12 | |||||||
10.5(iii) | Amendment No. 3 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.15 | 12/21/07 | |||||||
10.5(iv) | Amendment No. 4 to The June 16, 1992 Lease | 10-K | 000-19807 | 10.14 | 12/21/07 | |||||||
10.6 | Lease Agreement, dated June 23, 1993, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The June 23, 1993 Lease”) | 10-K | 000-19807 | 10.16 | Fiscal year ended September 30, 1993 | |||||||
10.6(i) | Amendment No. 1 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.6(i) | 12/20/12 | |||||||
10.6(ii) | Amendment No. 2 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.17 | 12/21/07 | |||||||
10.6(iii) | Amendment No. 3 to The June 23, 1993 Lease | 10-K | 000-19807 | 10.16 | 12/21/07 | |||||||
10.7 | Lease Agreement, dated August 24, 1995, between Synopsys, Inc. and John Arrillaga, Trustee, or his successor trustee, UTA dated July 20, 1977 (John Arrillaga Separate Property Trust), as amended, and Richard T. Peery, Trustee, or his successor trustee, UTA dated July 20, 1977 (Richard T. Peery Separate Property Trust), as amended, (“The August 24, 1995 Lease”) | 10-K | 000-19807 | 10.21 | Fiscal year ended September 30, 1995 | |||||||
10.7(i) | Amendment No. 1 to The August 24, 1995 Lease | 10-K | 000-19807 | 10.18 | 12/21/07 | |||||||
10.8 | Lease Agreement, dated January 2, 1996 between Synopsys, Inc. and Tarigo-Paul, a California Limited Partnership, (“The January 2, 1996 Lease”) | 10-Q | 000-19807 | 10.28 | 05/14/96 | |||||||
10.8(i) | First Amendment to The January 2, 1996 Lease | 8-K | 000-19807 | 10.42 | 09/12/06 | |||||||
10.8(ii) | Second Amendment to The January 2, 1996 Lease | 8-K | 000-19807 | 10.41 | 09/12/06 | |||||||
10.8(iii) | Third Amendment to The January 2, 1996 Lease | 10-K | 000-19807 | 10.8(iii) | 12/20/12 | |||||||
10.8(iv) | Fourth Amendment to The January 2, 1996 Lease | 10-K | 000-19807 | 10.8(iv) | 12/20/12 | |||||||
10.8(v)† | Notification of Change of Ownership of Leased Premises under The January 2, 1996 Lease—Effective September 25, 2012 | 10-K | 000-19807 | 10.8(v) | 12/20/12 | |||||||
10.9 | Notification of Change of Ownership of Leased Premises—Effective April 25, 2006, notifying Synopsys, Inc. of the change of ownership under multiple leases | 10-K | 000-19807 | 10.20 | 12/21/07 |
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.10 | Lease Agreement dated October 14, 2011 between Synopsys, Inc. and 690 E. Middlefield Road Fee, LLC, (“The October 14, 2011 Lease”) | 10-K | 000-19807 | 10.19 | 12/16/11 | |||||||
10.10(i)† | Notification of Change of Ownership of Leased Premises under The October 14, 2011 Lease—Effective May 9, 2012 | 10-K | 000-19807 | 10.10(i) | 12/20/12 | |||||||
10.10(ii) | First Amendment to The October 14, 2011 Lease | 10-Q | 000-19807 | 10.10(ii) | 3/4/13 | |||||||
10.11* | 1992 Stock Option Plan, as amended and restated | 10-K | 000-19807 | 10.29 | 01/25/02 | |||||||
10.12* | Employee Stock Purchase Plan, as amended | 8-K | 000-19807 | 10.21 | 04/05/12 | |||||||
10.13* | Synopsys Amended and Restated Deferred Compensation Plan II | 10-Q | 000-19807 | 10.23 | 3/09/09 | |||||||
10.14* | 1994 Non-Employee Directors Stock Option Plan, as amended and restated | 10-Q | 000-19807 | 10.1 | 09/15/03 | |||||||
10.15* | 1998 Nonstatutory Stock Option Plan | S-8 | 333-90643 | 10.1 | 11/09/99 | |||||||
10.16 | Amended and Restated Credit Agreement, dated February 17, 2012, among Synopsys as Borrower, the several Lenders from time to time parties thereto, Bank of America, N.A. and Wells Fargo Bank, N.A. as Co-Syndication Agents, HSBC Bank USA, N.A. and Union Bank, N.A. as Co-Documentation Agents, JPMorgan Chase Bank, N.A., as Administrative Agent, and J.P. Morgan Securities LLC, Merrill Lynch, Pierce, Fenner & Smith Incorporated and Wells Fargo Securities, LLC, as Co-Lead Arrangers and Co-Bookrunners | 8-K | 000-19807 | 10.45 | 02/22/12 | |||||||
10.17* | Form of Stock Option Agreement under 1992 Stock Option Plan | 10-K | 000-19807 | 10.27 | 01/12/05 | |||||||
10.18* | Non-Employee Director Compensation Arrangements | 10-K | 000-19807 | 10.27 | 12/17/10 | |||||||
10.19* | 2005 Non-Employee Director Equity Incentive Plan, as amended | 8-K | 000-19807 | 10.30 | 03/31/10 | |||||||
10.20* | Synopsys, Inc. 2005 Assumed Stock Option Plan | 8-K | 000-19807 | 10.34 | 09/12/05 | |||||||
10.21* | Form of Amended and Restated Executive Change of Control Severance Benefit Plan | 10-K | 000-19807 | 10.32 | 12/22/08 | |||||||
10.22* | Form of Restricted Stock Grant Notice and Award Agreement under 2005 Non-Employee Directors Equity Incentive Plan | 10-K | 000-19807 | 10.33 | 12/16/11 | |||||||
10.23* | Form of Stock Options Grant Notice and Option Agreement under 2005 Non-Employee Directors Equity Incentive Plan | 10-K | 000-19807 | 10.34 | 12/16/11 | |||||||
10.24* | 2006 Employee Equity Incentive Plan, as amended | 8-K | 000-19807 | 10.24 | 04/08/13 | |||||||
10.25* | Form of Restricted Stock Unit Grant Notice and Award Agreement under 2006 Employee Equity Incentive Plan | X | ||||||||||
10.26* | Form of Notice of Grant of Stock Options and Option Agreement under 2006 Employee Equity Incentive Plan | X | ||||||||||
10.27* | Executive Incentive Plan 162(m) | 8-K | 000-19807 | 10.42 | 01/28/10 |
Table of Contents
Exhibit Number | Exhibit Description | Incorporated By Reference | Filed or Furnished Herewith | |||||||||
Form | File No. | Exhibit | Filing Date | |||||||||
10.28* | Amended and Restated Employment Agreement, dated June 2, 2008, between Synopsys, Inc. and Dr. Aart de Geus | 8-K | 000-19807 | 10.50 | 06/03/08 | |||||||
10.29* | Amended and Restated Employment Agreement, dated June 2, 2008, between Synopsys, Inc. and Dr. Chi-Foon Chan | 8-K | 000-19807 | 10.51 | 06/03/08 | |||||||
10.30* | Compensation Recovery Policy | 10-K | 000-19807 | 10.46 | 12/22/08 | |||||||
21.1 | Subsidiaries of Synopsys, Inc. | X | ||||||||||
23.1 | Consent of KPMG LLP, Independent Registered Public Accounting Firm | X | ||||||||||
24.1 | Power of Attorney (see signature page to this Annual Report on Form 10-K) | X | ||||||||||
31.1 | Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
31.2 | Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
31.3 | Certification of Chief Financial Officer pursuant to Rule 13a-14(a) orRule 15d-14(a) of the Exchange Act | X | ||||||||||
32.1 | Certification of Co-Chief Executive Officers and Chief Financial Officer furnished pursuant to Rule 13a-14(b) orRule 15d-14(b) of the Exchange Act and Section 1350 of Chapter 63 of Title 18 of the United States Code | X | ||||||||||
101.INS | XBRL Instance Document | X | ||||||||||
101.SCH | XBRL Taxonomy Extension Schema Document | X | ||||||||||
101.CAL | XBRL Taxonomy Extension Calculation Linkbase Document | X | ||||||||||
101.DEF | XBRL Taxonomy Extension Definition Linkbase Document | X | ||||||||||
101.LAB | XBRL Taxonomy Extension Label Linkbase Document | X | ||||||||||
101.PRE | XBRL Taxonomy Extension Presentation Linkbase Document | X |
* | Indicates a management contract, compensatory plan or arrangement. |
† | We have requested confidential treatment for certain portions of this document pursuant to an application for confidential treatment sent to the SEC. We omitted such portions from this filing and filed them separately with the SEC. |