Exhibit 99.1
ENTEGRIS, INC. ANNUAL REPORT ON FORM 10-K
(UPDATED BY THIS CURRENT REPORT ON FORM 8-K)
For the Year Ended December 31, 2008
PART I
Item 1. | Business. |
Note: The information contained in this Item has been updated to reflect the Company’s realignment from one to three business segments, which are discussed further in Note 21 to the Consolidated Financial Statements. This item has not been updated for other changes since the filing of the Company’s 2008 Annual Report on Form 10-K. For significant developments since the filing of the Company’s 2008 Annual Report, refer to subsequent 2009 Quarterly Reports on Form 10-Q.
THE COMPANY
Entegris is a leading provider of a wide range of products and materials used in processing and manufacturing in the semiconductor and other high-technology industries. For the semiconductor industry, our products assure the integrity of critical materials and components throughout the semiconductor manufacturing process, from raw silicon wafer manufacturing to packaging of completed integrated circuits. For other high-technology applications, our products and materials are used to manufacture flat panel displays, high-purity chemicals, photoresists, solar cells, gas lasers, optical and magnetic storage devices, fiber optic cables, fuel cells and critical components for aerospace, glass manufacturing and biomedical applications. We sell our products worldwide through a direct sales force and through distributors in selected regions.
The Company was incorporated in Delaware in March 2005 in connection with a strategic merger of equals transaction between Entegris, Inc., a Minnesota corporation (Entegris Minnesota), and Mykrolis Corporation, a Delaware corporation (Mykrolis). Effective August 6, 2005, Entegris Minnesota and Mykrolis were each merged into the Company with the Company as the surviving corporation to carry on the combined businesses. Unless the context otherwise requires, the terms “Entegris”, “we”, “our”, or the “Company” mean Entegris, Inc., a Delaware corporation, and its subsidiaries; the term “Mykrolis” means Mykrolis Corporation and its subsidiaries when referring to periods prior to August 6, 2005; “Entegris Minnesota” means Entegris, Inc., a Minnesota corporation and its subsidiaries other than Entegris when referring to periods prior to August 6, 2005; and the term “Merger” refers to the transactions effected on August 6, 2005 described above. On August 11, 2008 we acquired Poco Graphite, Inc. (POCO), a privately held company based in Decatur, Texas. The addition of POCO both augmented our base of business in the semiconductor industry and provided growth opportunities in an array of other high-performance markets. The acquisition of POCO also expanded our materials science capabilities to include graphite and silicon carbide and added a consumable product line made from those materials to our portfolio of products.
We offer a diverse product portfolio that includes more than 16,000 standard and customized products that we believe provide the most comprehensive offering of products and services to maintain the purity and integrity of critical materials used by the semiconductor and other high-technology industries. Our products include both unit driven and capital expense driven products. Unit-driven and consumable products are consumed or exhausted during the manufacturing process and rely on the level of semiconductor and other manufacturing activity to drive growth. Capital expense driven products rely on the expansion of manufacturing capacity to drive growth. Our unit-driven and consumable product class includes membrane-based liquid filters and housings, metal-based gas filters, resin-based gas purifiers, wafer shippers, disk-shipping containers and test assembly and packaging products and consumable graphite and silicon carbide components used in plasma etch, ion implant and chemical vapor deposition processes in semiconductor manufacturing. Our capital expense-driven products include our components, systems and subsystems that use electro-mechanical, pressure differential and related technologies, to permit semiconductor and other electronics manufacturers to monitor and control the flow and condition of process liquids used in these manufacturing processes, and our process carriers that protect the integrity of in-process wafers. Unit-driven and consumable products, including service
1
revenue, accounted for approximately 65%, 60% and 59% of our net sales for fiscal years 2008, 2007 and 2006, respectively, and capital expense-driven products accounted for approximately 35%, 40% and 41% of our net sales for the fiscal years 2008, 2007 and 2006, respectively.
Our Internet address iswww.entegris.com. On this web site, under the “Investor Relations—SEC Filings” section, we post the following filings as soon as reasonably practicable after they are electronically filed with, or furnished to, the U.S. Securities and Exchange Commission (SEC): our annual, quarterly, and current reports on Forms 10-K, 10-Q, and 8-K; our proxy statements; and any amendments to those reports or statements. All such filings are available on our web site free of charge. The SEC also maintains a web site (www.sec.gov) that contains reports, proxy and information statements, and other information regarding issuers that file electronically with the SEC. The content on our web site as referred to in this Form 10-K is not incorporated by reference into this Form 10-K unless expressly noted.
SEMICONDUCTOR INDUSTRY BACKGROUND
Semiconductors, or integrated circuits, are the building blocks of today’s electronics and the backbone of the information age. The market for semiconductors has grown significantly over the past decade. This trend is expected to continue due to increased Internet usage and the continuing demand for applications in data processing, wireless communications, broadband infrastructure, personal computers, handheld electronic devices and other consumer electronics.
The semiconductor materials industry is comprised of a wide variety of materials and consumables that are used throughout the semiconductor production process. The extensive and complex process of turning bare silicon wafers into finished integrated circuits is dependent upon a variety of materials used repeatedly throughout the manufacturing process, such as silicon, chemicals, gases and metals. The handling and purification of these materials during the integrated circuit manufacturing process requires the use of a variety of products, such as liquid and gas filters and purifiers, fluid and gas handling components and wafer shippers and process carriers.
The manufacture of semiconductors is a highly complex process that consists of two principal segments: front-end processes and back-end processes. The front-end process begins with the delivery of raw silicon wafers from wafer manufacturers to semiconductor manufacturers and requires hundreds of highly complex and sensitive manufacturing steps, during which a variety of materials, including chemicals and gases, are applied to the silicon wafer to build the integrated circuits on the wafer surface. We offer products for each of the primary front-end process steps, which are listed below, as well as products to transport in-process wafers between each of these steps.
Deposition.Deposition refers to placing layers of insulating or conductive materials on a wafer surface in thin films that make up the circuit elements of semiconductor devices. The two main deposition processes are physical vapor deposition, where a thin film is deposited on a wafer surface in a low-pressure gas environment, and chemical vapor deposition (CVD), where a thin film is deposited on a wafer surface using a gas medium and a chemical bonding process. In addition, electro-plating technology is used for the deposition of low resistance conductive materials such as copper. The control of uniformity and thickness of these films through filtration and purification of the fluids and materials used during the process is critical to the performance of the semiconductor circuit and, consequently, the manufacturing yield. In addition, our graphite chamber liners and shower heads are critical expendable components used in the CVD chamber.
Chemical Mechanical Planarization (CMP).CMP flattens, or planarizes, the topography of the surface of the wafer after deposition to permit the patterning of small features on the resulting smooth surface by the photolithography process. Semiconductor manufacturers need our filtration and purification systems to maintain acceptable manufacturing yields through the chemical mechanical planarization process by filtering the liquid slurries, which are solutions containing abrasive particles in a chemical mixture, to remove oversized particles and contaminants that can cause defects on a wafer’s surface, while not affecting the functioning of the abrasive particles in the liquid slurries. In addition, manufacturers use our consumable polyvinyl alcohol (PVA) roller brushes to clean the wafer after completion of the CMP process to prepare the wafer for subsequent operations.
2
Photolithography.Photolithography is the process step that defines the patterns of the circuits to be built on the chip. Before photolithography, a wafer is pre-coated with photoresist, a light-sensitive film composed of ultra-high purity chemicals in liquid form. The photoresist is exposed to specific forms of radiation, such as ultraviolet light, electrons or x-rays, to form patterns that eventually become the circuitry on the chip. This process is repeated many times, using different patterns and interconnects between layers to form the complex, multi-layer circuitry on a semiconductor chip. As device geometries decrease and wafer sizes increase, it is even more critical that these photoresists are dispensed on to the chip with accurate thickness and uniformity, as well as with low levels of contamination, and that the process gases are free of micro-contamination so that manufacturers can achieve acceptable yields in the manufacturing process. Our liquid filtration and liquid dispense systems play a critical role in assuring the pure, accurate and uniform dispense of photoresists on to the wafer. In addition, our gas micro-contamination systems eliminate airborne amine contaminants that can disrupt effective photolithography processes.
Etch and Resist Strip.Etch is the process of selectively removing precise areas of thin films that have been deposited on the surface of a wafer. The hardened photoresist protects the remaining material that makes up the circuits. During etch, specific areas of the film not covered by photoresist are removed to leave a desired circuit pattern. Similarly, resist strip is a process of removing the photoresist material from the wafer after the desired pattern has been placed on the wafer. Emerging advanced etch and resist strip applications require precisely controlled gas chemistries and flow rates in order to achieve precise etch and resist strip characteristics. Our gas filters and purifiers help assure the purity of these process gas streams, and our consumable graphite components deliver, baffle and confine these process gases during the etch process.
Ion Implant.Ion implantation provides a means for introducing impurities into the silicon crystal, typically into selected areas defined by the photolithographic process. This selective implanting of ions into defined areas creates electrically conductive areas that form the transistors of the integrated circuits. Ion implanters have the ability to implant selected elements into the silicon wafers at precise locations and depths by bombarding the silicon surface with a precisely controlled beam of electrically charged ions of specific atomic mass and energy. These ions are embedded into the silicon crystal structure, changing the electrical properties of the silicon. The precision of ion implantation techniques permits customers to achieve the necessary control of this doping process to construct up to 500 billion transistors of uniform characteristics on a 300mm wafer. Since these transistors are the starting point of all subsequent process steps, repeatability, uniformity and yield are extremely important. Our consumable graphite components as well as our proprietary low temperature plasma coating process for core components are critical elements of ion implantation equipment.
Wet Cleaning.Ultra-high purity chemicals and photoresists of precise composition are used to clean the wafers, to pattern circuit images and to remove photoresists after etch. Before processes such as photoresist coating, thin film deposition, ion implantation, diffusion and oxidation, and after processes such as ion implantation and etch, the photoresists must be stripped off, and the wafer cleaned in multiple steps of chemical processes. To maintain manufacturing yields and avoid defective products, these chemicals must be maintained at very high purity levels without the presence of foreign material such as particles, ions or organic contaminants. Our liquid filters and purifiers are used to assure the purity of these chemicals.
Our wafer and reticle carriers are high-purity “mini-environments” which carry wafers between each of the above process steps, protecting them from damage and contamination during these transport operations. Our fluid handling components assure the delivery of pure liquid chemicals to each of these process steps. Front-end wafer processing can involve hundreds of steps and take several weeks. As a result, a batch of 25 fully processed wafers, the maximum number of wafers that can be transported in one of our products, can be worth several million dollars. Since significant value is added to the wafer during each successive manufacturing step, it is essential that the wafer be handled carefully and precisely to minimize damage. Thus, in the case of wafer carriers, precise wafer positioning, highly reliable and predictable cassette interface dimensions and advanced materials are crucial. The failure to prevent damage to wafers can severely impact integrated circuit performance, render an integrated circuit inoperable or disrupt manufacturing operations. Our products enable semiconductor manufacturers to: minimize contamination (semiconductor processing is now so sensitive that ionic contamination in certain processing chemicals is measured in parts per trillion); protect semiconductor
3
devices from electrostatic discharge and shock; avoid process interruptions; prevent damage or abrasion to wafers and materials during automated processing caused by contact with other materials or equipment; prevent damage due to abrasion or vibration of work-in-process and finished goods during transportation to and from customer and supplier facilities; and eliminate the dangers associated with handling toxic chemicals.
Once the front-end manufacturing process is completed, finished wafers are transferred to back-end manufacturers or assemblers. The back-end semiconductor manufacturing process consists of test, assembly and packaging of finished wafers into integrated circuits. Our wafer shippers, wafer and reticle carriers and integrated circuit trays facilitate the storage, transport, processing and protection of wafers through these front-end and back-end manufacturing steps.
Semiconductor manufacturing has become increasingly complex in recent years as new technologies have been introduced to enhance device performance and as larger wafer sizes have been introduced to increase production efficiencies. This increasing complexity of semiconductor devices has resulted in a number of challenges including the need for more complex, higher-precision liquid and gas delivery, measurement, control and purification systems and subsystems in the front-end manufacturing processes and to improve time-to-market, reduce manufacturing costs, improve production quality and enhance product reliability and long-term service and support. To address these challenges, semiconductor equipment companies and device manufacturers are outsourcing the design and manufacture of liquid delivery, measurement, control and purification systems, subsystems, components, and consumables to us and to other well-established subsystem and component companies that have worldwide presence and leading technologies. The design and performance of those liquid delivery systems, subsystems, components and consumables are critical to the front-end semiconductor manufacturing process because they directly affect cost of ownership and manufacturing yields. We continually seek opportunities to work with our customers to address these challenges.
Also in response to these challenges and to achieve continued productivity gains, semiconductor manufacturers have become increasingly focused on materials management solutions that enable them to safely store, handle, process and transport critical materials throughout the manufacturing process to minimize the potential for damage or degradation to their materials and to protect their investment in processed wafers. The need for efficient and reliable materials management is particularly important as new materials are introduced and as 300 mm semiconductor wafer manufacturing becomes the more prevalent manufacturing technology. Processing 300 mm wafers, currently the largest wafer size in a manufacturing environment, is more costly and more complex because of the larger size of these wafers. In addition, new materials and circuit shrinkage create new contamination and material compatibility risks, rendering 300 mm wafers more vulnerable to damage or contamination. These trends will present new and increasingly difficult purification, dispense, shipping, transport, process and storage challenges. We seek to bring our advanced polymer manufacturing and advanced tool design capabilities to bear on these challenges to provide our customers with innovative materials integrity management solutions.
Many of the processes used to manufacture semiconductors are also used to manufacture flat panel displays, magnetic and optical storage devices and fiberoptic cables for telecommunications, resulting in the need for similar filtration, purification, control and measurement capabilities. We seek to leverage our products and expertise in serving semiconductor applications to address these important market opportunities.
OUR BUSINESS STRATEGY
Our objective is to be a global leader providing innovative products and solutions for purifying, protecting and transporting critical materials used in processing and manufacturing in the semiconductor and other high-technology industries. We intend to build upon our position as a worldwide developer, manufacturer and supplier of liquid delivery systems, components and consumables used by semiconductor and other electronic device manufacturers and upon our expertise in advanced specialty materials to grow our business in these and other high value-added manufacturing process markets. Our strategy includes the following key elements:
Comprehensive and Diverse Product Offerings. The semiconductor manufacturing industry is driven by rapid technological changes and intense competition. We believe that semiconductor manufacturers are seeking
4
process control suppliers who can provide a broad range of reliable, flexible and cost-effective products, as well as the technological and application design expertise necessary to deliver effective solutions. Our comprehensive product offering enables us to meet a broad range of customer needs and provide a single source of flexible product offerings for semiconductor device and capital equipment manufacturers as they seek to consolidate their supplier relationships to a smaller select group. In addition, we believe manufacturers of semiconductor tools are looking to their suppliers for subsystems that provide more integrated functionality and seamlessly communicate with other equipment. We believe our offering of consumables and equipment, as well as our ability to integrate them, allows us to provide advanced subsystems.
Diversified Revenue Stream. We target a diversified revenue stream by balancing our sales of wafer transport and process carriers as well as component and subsystem equipment products with sales of our unit-driven and consumable products. Our unit-driven and consumable products provide a relatively more stable and recurring source of revenue in this cyclical industry. Our capital expense-driven products, which are generally dependent upon such factors as the construction and expansion of semiconductor manufacturing facilities and the retrofitting and renovation of existing semiconductor facilities, position us to benefit from increases in capital spending that are typically more subject to the volatility of industry cycles.
Technology Leadership. With the emergence of smaller and more powerful semiconductor devices, and the deployment of new materials and processes to produce them, we believe there is a need for greater materials management within the semiconductor fabrication process. We seek to extend our technology by developing advanced products that address more stringent requirements for greater purification, protection and transport of high value-added materials and for contamination control, fluid delivery and monitoring, and system integration. We have continuously improved our products as our customers’ needs have evolved. For example, we have developed proprietary materials blends for use in our wafer handling product family that address the contamination concerns of advanced semiconductor processing below 100 nanometers; we have also developed a next-generation 300 mm front-opening unified pod utilizing those materials targeting the needs of 65 nm production; and we have expanded upon our proprietary two-stage dispense technology with integrated filtration for photoresist delivery, where the photoresist is filtered through one pump and precisely dispensed through a second pump at a different flow rate to reduce defects on wafers.
Strong Customer Base.We have established ongoing relationships with many leading original equipment manufacturers and materials suppliers in our key markets. These industry relationships have provided us with the opportunity for significant collaboration with our customers at the product design stage, which has facilitated our ability to introduce new products and applications that meet our customers’ needs. For example, we work with our key customers at the pre-design and design stages to identify and respond to their requests for current and future generations of products. We target opportunities to offer new technologies in emerging applications, such as copper plating, chemical mechanical planarization, wet-dry cleaning systems and photolithography. We believe that our large customer base will continue to be an important source of new product development ideas.
Global Presence. We have established a global infrastructure of design, manufacturing, distribution, service and support facilities to meet the needs of our customers. In addition, we may expand our global infrastructure, either through acquisition or internal development, to accommodate increased demand, or we may consolidate inefficient operations to optimize our manufacturing and other capabilities. For example, we have established sales and service offices in China in anticipation of a growing semiconductor manufacturing base in that region. As semiconductor and other electronic device manufacturers have become increasingly global, they have required that suppliers offer comprehensive local repair and customer support services. In response to this trend we transferred customer support and logistics activities to local regions in an effort to enhance our global customer contact and awareness. We maintain our customer relationships through a combination of direct sales and support personnel and selected independent sales representatives and distributors in Asia, Europe and the Middle East.
Ancillary Markets. We plan to leverage our accumulated expertise in the semiconductor industry by developing products for applications that employ similar production processes that utilize materials integrity management, high-purity fluids and integrated dispense system technologies. Our products are used in manufacturing
5
processes outside of the semiconductor industry, including the manufacturing of flat panel displays, fuel cell components, high-purity chemicals, photoresists, solar cells, gas lasers, optical and magnetic storage devices and fiberoptic cables. We plan to continue to identify and develop products that address materials management and advanced materials processing applications where fluid management plays a critical role. We believe that by utilizing our technology to provide manufacturing solutions across multiple industries, we are able to increase the total available market for our products and reduce, to an extent, our exposure to the cyclicality of any particular market.
Strategic Acquisitions, Partnerships and Related Transactions. We plan to pursue strategic acquisitions and business partnerships that enable us to address gaps in our product offerings, secure new customers, diversify into complementary product markets and broaden our technological capabilities and product offerings. Our acquisition of Poco Graphite in August of 2008 is an example of this strategy. Poco Graphite reinforces our presence in that industry by providing a group of new products critical to front-end semiconductor manufacturing based on a materials science that we did not previously have in our technology portfolio. Further, as the dynamics of the markets that we serve shift, we will reevaluate the ability of our existing businesses to provide value-added solutions to those markets in a manner that contributes to achieving our objectives; in the event that we conclude that a business is not able to do this, we expect to restructure or replace that business. Our decision to divest our cleaning equipment business in 2007 was made pursuant to this strategy. Finally, we are continuously evaluating opportunities for strategic alliances and joint development efforts with key customers and other industry leaders.
OUR BUSINESS SEGMENTS
For 2008, 2007 and 2006, the Company operated in one reportable business segment that developed, manufactured and sold consumable and capital equipment products to semiconductor manufacturing companies and other companies using similar manufacturing processes, as well as OEM suppliers to those companies. As discussed in Note 21 to the Consolidated Financial Statements for the year ended December 31, 2008 (the “Financial Statements”) included in response to Item 8 below, which Note is incorporated herein by reference, effective in the first quarter of 2009 we commenced reporting our financial results on the basis of three business segments: Contamination Control Solutions, Microenvironments and Entegris Specialty Materials. This change from one to three business segments was made to align our financial reporting to the restructuring of the management of our operations into three integrated divisions. Financial information and other disclosures relating to the Company’s business segments and operations in major geographic areas are provided in Note 21 to the Financial Statements. In 2008, 2007 and 2006 approximately 71%, 74% and 71%, respectively, of our net sales were made to customers outside North America.
Contamination Control Solutions Business:The Contamination Control Solutions segment serves primarily the semiconductor and related microelectronics markets by offering a wide range of products that purify, monitor and deliver critical liquids and gases to the manufacturing process. There follows a detailed description of these products:
Liquid Filtration Products: Liquid processing occurs during multiple steps in the semiconductor manufacturing process including photolithography, deposition, planarization and surface etching and cleaning. The fluids that are used include various mixtures of acids, bases, solvents, slurries and photochemicals, which in turn are used over a broad range of operating conditions, including temperatures from 5 degrees Celsius up to 180 degrees Celsius. The design and performance of our liquid filtration and purification products are critical to the semiconductor manufacturing process because they directly affect the cost of ownership and manufacturing yield. Specially designed proprietary filters remove sub-micron sized particles and bubbles from the different fluid streams that are used in the manufacturing process. Some of our filters are constructed with ultra-high molecular weight polyethylene flat sheet membranes that offer improved bubble clearance and gel removal, either of which can cause defects in the wafers if not removed. Our low hold-up volume disposable filters, with flat sheet membranes, use our Connectology ™ technology to allow filter changes in less than a minute, significantly faster than conventional filters, to reduce the amount of expensive chemicals lost each time a filter is changed and to minimize operator exposure to hazardous solvents and vapors during changeout. We also
6
offer a line of consumable PVA roller brush products to clean the wafer following the chemical mechanical planarization process. Our unique Planarcore ™ PVA roller brush is molded on the core to allow easy installation that reduces tool downtime and a dimensionally stable product that provides consistent wafer-to-wafer cleaning performance.
Components and Systems. Chemicals spend most of their time in contact with fluid storage and management distribution systems, so it is critical for fluid storage and handling components to resist these chemicals and avoid contributing contaminants to the fluid stream. We offer chemical delivery products that allow the consistent and safe delivery of sophisticated chemicals from the chemical manufacturer to the point-of-use in the semiconductor fab. Most of these products are made from perfluoroalkoxy or PFA, a fluoropolymer resin widely used in the semiconductor industry because of its high purity and inertness to chemicals. The innovative design and reliable performance of our products and systems under the most stringent of process conditions has made us a recognized leader in high-purity fluid transfer products and systems. Both semiconductor manufacturers and semiconductor OEMs use our chemical delivery products and systems. Our comprehensive product line provides our customers with a single-source provider for their chemical storage and management needs throughout the manufacturing process. Our chemical delivery products include valves, fittings, tubing, pipe, chemical containers and custom fabricated products for high-purity chemical applications.
Our proprietary photochemical filtration and dispense systems integrate our patented two-stage, filter device and valve control technologies. We believe that we offer the microelectronics industry the only dispense systems with integrated filtration capability and that our proprietary patented two-stage technology has a significant advantage over conventional single-stage technology. Our two-stage technology permits the filtering and dispense functions to operate independently so that filtering and dispensing of photochemicals can occur at different rates, reducing the differential pressure across the filter, conserving expensive photochemicals and resulting in reduced defects in wafers. As described above, we offer a line of proprietary filters specifically designed to efficiently connect with these systems. Our patented digital valve control technology improves chemical uniformity on wafers and improves ease of optimized system operation. In addition, our integrated high-precision liquid dispense systems enable uniform application of photoresists for the spin-coating process, where uniformity is measured in units of Angstroms, a tiny fraction of the thickness of a human hair.
We offer a wide variety of measurement and control products for high-purity and corrosive applications. For electronic measurement and control of liquids, we provide a complete line of pressure and flow measurement and control products as well as all-plastic capacitance sensors for leak detection, valve position, chemical level and other measurements. We also offer a complete line of sight tube-style flowmeters and mechanical gauge pressure measurement products.
Gas Filtration Products. Our Wafergard®, ChamberGard™ and Waferpure® particle and molecular filtration products purify the gas entering the process chamber in order to eliminate system and wafer problems due to particulate, atmospheric and chemical contaminants. These filters are able to retain all particles 0.003 microns and larger. Our metal filters, such as stainless steel and nickel filters, reduce outgassing and improve corrosion resistance. Our Waferpure® and Aeronex Gatekeeper® purifiers chemically react with and absorb volatile contaminants, such as oxygen and water, to prevent contamination, and our ChamberGard ™ vent diffusers reduce particle contamination and processing cycle times. We offer a wide variety of gas purification products to meet the stringent requirements of semiconductor processing. Our Aeronex Gas Purification Systems contain dual-resin beds, providing a continuous supply of purified gas without process interruption. These gas purification systems are capable of handling higher flow rates and longer duty cycles than cartridge purifiers. Our Extraction products include filter housings and hybrid media chemical air filters which purify air entering exposure tool and process tool enclosures and remove airborne molecular contaminants.
Customers.The major semiconductor customer groups of the Contamination Control Solutions segment include integrated circuit device (semiconductor) manufacturers, original equipment manufacturers that provide equipment to integrated circuit device manufacturers, gas and chemical manufacturing companies and manufacturers of high-precision electronics. Our significant Contamination Control Solutions customers based on sales in fiscal 2008 include industry leaders, such as ASML, Samsung America Inc., Taiwan Semiconductor Manufacturing Co. Ltd., Tokyo Electron and UMC Group. We also sell our Contamination Control Solutions products to flat panel display original equipment manufacturers, materials suppliers and end users. The major manufacturers for flat panel displays and flat panel display equipment are concentrated in Japan, Korea and other parts of Asia.
7
Competition. Contamination Control Solutions filtration- products compete with product offerings from a wide range of companies including both large companies, such as Pall Corporation, as well as small Asian filter manufacturers. Our contamination control components and systems also face worldwide competition from companies such as Saint-Gobain, Parker, Gemu, Donaldson and Iwaki Co., Ltd. Our gas filtration products compete with companies such as SAES Puregas and Mott Metallurgical Corporation.
Microenvironment Business The Microenvironments segment serves primarily the semiconductor and related microelectronics markets by offering a wide range of products that preserve the integrity of wafers, reticles and electronic components during transport, processing and storage in various stages of the semiconductor manufacturing process. Our microenvironment business offers products that fall into three sub-categories, wafer handling products, wafer shipping products and data storage products.
Wafer Handling Products. We believe that we are a market leader in wafer handling products. We offer a wide variety of products that hold and position wafers as they travel between each piece of equipment used in the automated manufacturing process. These specialized carriers provide precise wafer positioning, wafer protection and highly reliable and predictable cassette interfaces in automated fabs. Semiconductor manufacturers rely on our products to improve yields by protecting wafers from abrasion, degradation and contamination during the manufacturing process. We provide standard and customized products that meet the full spectrum of industry standards and customers’ wafer handling needs including FOUPs, wafer transport and process carriers, SMIF pods and work-in-process boxes. To meet our customers’ varying wafer processing and transport needs, we offer wafer carriers in a variety of materials and in sizes ranging from 100 mm through 300 mm.
Wafer Shipping Products. We believe that we are a leading provider of critical shipping products that preserve the integrity of raw silicon wafers as they are transported from wafer manufacturers to semiconductor manufacturers. We lead the market with our extensive, high-volume line of Ultrapak® and Crystalpak® products which are supplied to wafer manufacturers in a full range of sizes covering 100, 125, 150 and 200 mm wafers. We also offer a full-pitch, front-opening shipping box, or FOSB, for the transportation and automated interface of 300 mm wafers. We offer a complete shipping system, including both wafer shipping containers as well as secondary packaging that provides another level of protection for wafers.
We believe we are the only global provider currently offering outsourcing programs for wafer and device transportation and protection for both wafer manufacturing and wafer handling products. Our Wafercare® and DeviceCare SM services include product cleaning, certified re-use services for shipping products, on-site and off-site product maintenance and optimization, and end-of-life recycling for our wafer, device and disk-handling products. Re-use services can be customized depending on the customers needs to provide product cleaning, logistics, recovery, certification and supply solutions for our products.
Data Storage Products. As is the case with the semiconductor industry, the data storage market continues to face new challenges and deploy new technologies at an accelerating rate. We provide products and solutions to manage two critical sectors of this industry: magnetic disks and the read/write heads used to read and write today’s higher density disks. Because both of these hard disk drive components are instrumental in the transition to more powerful storage solutions, we offer products that carefully protect and maintain the integrity of these components during their processing, storage and shipment. Our product offerings for magnetic hard disk drives include process carriers, boxes, packages, tools and shippers for aluminum and other disk substrates. Our optical hard disk drive products include stamper cases, process carriers, boxes and glass master carriers. Our read/write head products include transport trays, carriers, handles, boxes, individual disk substrate packages and accessories.
Rapidly changing packaging strategies for semiconductor applications are creating new materials management challenges for back-end manufacturers. We offer chip and matrix trays as well as carriers for bare die handling and integrated circuits. Our materials management products are compatible with industry standards and available in a wide range of sizes with various feature sets. Our standard trays offer dimensional stability and
8
permanent electrostatic discharge protection. Our trays also offer a number of features including custom designs to minimize die movement and contact; shelves and pedestals to minimize direct die contact, special pocket features to handle various surface finishes to eliminate die sticking; and other features for automated or manual die placement and removal. In addition, we support our product line with a full range of accessories to address specific needs such as static control, cleaning, chip washing and other related materials management requirements. To better address this market, we have established ictray.com, a website which allows new and existing customers to select from our full range of standard and custom integrated circuit trays.
Customers. Our major Microenvironment segment customer groups include integrated circuit device manufacturers, silicon wafer growers and manufacturers of high-precision electronics. Our significant Microenvironment segment customers, based on sales in fiscal 2008 include industry leaders, such as, MEMC, Samsung America Inc., Siltronic AG, SUMCO, Taiwan Semiconductor Manufacturing Co. Ltd.
Competition. Our Microenvironment Business product lines face competition largely on a product-by-product basis. We face competition from companies such as Miraial (formerly Kakizaki), Dainichi and Shin-Etsu Polymer and from regional suppliers such as e.PAK Resources Pte. Ltd. These companies compete with us primarily in 200 mm and 300 mm applications. Our data storage and finished electronic components products compete with companies such as ITW/Camtex, Peak International and 3M and from regional suppliers.
Entegris Specialty Materials Business:Our Entegris Specialty Materials products, which include critical graphite components used in semiconductor equipment and low-temperature, plasma-enhanced chemical vapor deposition coating of critical components of semiconductor manufacturing equipment used in various stages of the manufacturing process. Our specialty materials products fall into three sub-categories, Poco Graphite Products, Specialty Coating Products and Polymer Composites. These products all provide high-value materials science enabling solutions in the form of materials, components or services that provide corrosion, high temperature, wear and chemical resistance, electrical and thermal conductivity and biocompatibility to a wide range of customers both within the semiconductor industry and in adjacent and unrelated industries.
Poco Graphite Products. These products are made from specialized graphite or silicon carbide. Our Poco Graphite products sold to the semiconductor industry are used for critical components for semiconductor manufacturing equipment at various stages of the semiconductor manufacturing process including chemical vapor deposition, where our expendable graphite chamber liners and shower heads are critical components used in the CVD chamber; wet etch and clean, where our consumable graphite components deliver, baffle and confine the process gases during the etch process; and ion implant, where our consumable graphite components are critical elements of ion implantation equipment. In addition, our Poco Graphite high-quality graphite is used as precision consumable electrodes for electrical discharge machining, a non-contact precision thermoelectric machining process for hard and exotic metals and other materials. Poco Graphite also manufactures a number of graphite hot glass contact materials for use in the manufacture of glass containers. Finally, Poco Graphite manufactures a number of graphite consumable products for various industrial applications including bushings and thrust washers for aerospace applications, substrates for industrial print heads, components for scan heads in industrial optical applications, cathodes for fuel cells and heart valves for human implantation.
Specialty Coatings. We offer a variety of high-performance specialty coatings for critical components used in semiconductor and other high-technology manufacturing operations. These components, often possessing highly complex geometries, are coated by means of a low-temperature, plasma-assisted chemical vapor deposition process to provide corrosion and abrasion resistance and desired conductivity and hydrophobicity properties. We also provide complex assemblies such as electrostatic chucks for semiconductor manufacturing equipment, where our coatings prevent contamination of the process. Our coatings are also used in other high-technology applications such as aerospace optical components.
9
Polymer Composite Products. We are pursuing a number of advanced materials initiatives to produce highly engineered, single wall and multi-wall carbon nanotube polymer composite materials that can be used in various products in the semiconductor and other high technology markets.
Customers. Our Entegris Specialty Materials Business sells to a wide variety of customers including major manufacturers of ion implant equipment for the semiconductor industry, major semiconductor tool manufacturers, producers of specialty chemicals, manufacturers of biomedical implantation devices, aerospace manufacturers and major producers of glass containers. Our Poco Graphite products for electrostatic discharge machining applications are sold through independent distributors who generally fabricate custom electrodes from our graphite for these applications.
Competition. The competition that our Entegris Specialty Materials Business faces is highly fragmented, and we compete with a number of different companies. Some of our competitors are larger and have greater resources than we do. In some cases, our competitors are smaller than us, but well-established in specific product niches. Poco Graphite products compete with products manufactured by companies such as Carbone Lorraine (France), Tokai Carbon (Japan) and Toyo Tanso (Japan).
OUR CUSTOMERSAND MARKETS
In 2008, 2007 and 2006, net sales to our top ten customers accounted for approximately 26%, 28% and 27%, respectively, of our net sales. During those same periods no single customer accounted for more than 10% of our net sales and international net sales represented approximately 71%, 74% and 71%, respectively, of our net sales. Over 3,600 customers purchased products from us during 2008.
We may enter into supply agreements with our customers to govern the conduct of our business with our customers, including the manufacture of our products. These agreements generally have a term of one to three years, but do not contain any long-term purchase commitments. Instead, we work closely with our customers to develop non-binding forecasts of the future volume of orders. However, customers may cancel their orders, change production quantities from forecasted volumes or delay production for a number of reasons beyond our control.
SALESAND MARKETING
We sell our products worldwide, primarily through our direct sales force located in offices in all major semiconductor markets, as well as through independent distributors elsewhere. As of December 31, 2008, our sales and marketing force consisted of approximately 515 employees worldwide. Our direct sales force is supplemented by independent sales representatives and agents.
Our semiconductor marketing efforts focus on our “push/pull” marketing strategy in order to maximize our selling opportunities. We work with original equipment manufacturers to persuade them to design tools that require our products and we create end-user “pull” demand by persuading semiconductor manufacturers to specify our products. Our industry relationships have provided us with the opportunity for significant collaboration with our customers at the product design stage, which has facilitated our ability to introduce new products and applications that meet our customers’ needs. In addition, we are constantly identifying for our customers the variety of analytical, purification and process control challenges that may be addressed by our products. Further, we adapt our products and technologies to resolve process control issues identified by our customers. Our sales representatives provide our customers with worldwide support and information about our products.
We believe that our technical support services are important to our marketing efforts. These services include assisting in defining a customer’s needs, evaluating alternative products, designing a specific system to perform the desired separation, training users and assisting customers in compliance with relevant government regulations. In addition, we maintain a network of service centers located in the United States and in key international markets to support our products.
Worldwide Applications Development and Field Support Capabilities. We provide strong technical support to our customers through local service groups and engineers consisting of field applications engineers, technical
10
service groups, applications development groups and training capabilities. Our field applications engineers, located in the United States and approximately ten other countries, work directly with our customers on product qualification and process improvements in their facilities. In addition, in response to customer needs for local technical service and fast turnaround time, we maintain regional applications laboratories. Our applications laboratories maintain process equipment that simulate customers’ applications and industry test standards and provide product evaluation, technical support and complaint resolution for our customers.
COMPETITION
The market for our products is highly competitive. While price is an important factor, we compete primarily on the basis of the following factors:
• | historical customer relationships; |
• | technical expertise; |
• | product quality and performance; |
• | total cost of ownership; |
• | customer service and support; |
• | breadth of product line; |
• | breadth of geographic presence; |
• | advanced manufacturing capabilities; and |
• | after-sales service. |
We believe that we compete favorably with respect to all of the factors listed above, but we cannot assure you that we will continue to do so. We believe that our key competitive strengths include our broad product line, the low total cost of ownership of our products, our ability to provide our customers with quick order fulfillment and our technical expertise. However, our competitive position varies depending on the market segment and specific product areas within these segments. While we have longstanding relationships with a number of semiconductor and other electronic device manufacturers, we also face significant competition from companies that have longstanding relationships with other semiconductor and electronic device manufacturers and, as a result, have been able to have their products specified by those customers for use in manufacturers’ fabrication facilities. In the markets for our consumable products, we believe that our differentiated membrane and materials integrity management technologies, strong supply chain capabilities that allow us to provide our customers with quick order fulfillment, and technical expertise, which enables us to develop membranes to meet specific customer needs and assist our customers in improving the functionality of our membranes for particular applications, allow us to compete favorably. In these markets our competitors compete against us on the basis of price, as well as alternative membrane technology having different functionality, manufacturing capabilities and breadth of geographic presence.
The market for our products is highly fragmented, and we compete with a number of different companies. Some of our competitors are larger and have greater resources than we do. In some cases, our competitors are smaller than us, but well-established in specific product niches. We believe that none of our competitors competes with us across all of our product offerings and that, within the markets that we serve, we offer a broader line of products, make use of a wider range of process control technologies and address a broader range of applications than any single competitor.
ENGINEERING, RESEARCHAND DEVELOPMENT
Our aggregate engineering, research and development expenses in 2008, 2007 and 2006 were $40.1 million, $39.7 million and $38.1 million, respectively. As of December 31, 2008, we had approximately 247 employees in engineering, research and development. In addition, we have followed a practice of supplementing our internal research and development efforts by licensing technology from unaffiliated third parties and/or acquiring distribution rights with respect thereto when we believe it is in our long-term interests to do so.
To meet the global needs of our customers, we have engineering, research and development capabilities in California, Minnesota, Massachusetts, Texas, Japan and Malaysia. Our engineering, research and development efforts are directed toward developing and improving our technology platforms for semiconductor and advanced processing applications and identifying and developing products for new applications for which fluid management plays a critical role.
11
We use sophisticated methodologies to research, develop and characterize our materials and products. Our materials technology laboratory is equipped to analyze the physical, rheological, thermal, chemical and compositional nature of the polymers we use. Our materials lab includes standard and advanced polymer analysis equipment such as inductively coupled plasma mass spectrometry (ICP/MS), inductively coupled plasma atomic emission spectrometry (ICP/AES), fourier transform infrared spectroscopy (FTIR) and automated thermal desorption gas chromatography/mass spectrometry (ATD-GC/MS). This advanced analysis equipment allows us to detect contaminants in materials that could harm the semiconductor manufacturing process to levels as low as parts per billion, and in many cases parts per trillion.
Our capabilities to test and characterize our materials and products are focused on continuously reducing risks and threats to the integrity of the critical materials that our customers use in their manufacturing processes. We expect that technology and product engineering, research and development will continue to represent an important element in our ability to develop and characterize our materials and products.
Key elements of our engineering, research and development expenditures over the past three years have included the development of new product platforms to meet the manufacturing needs for 90, 65, 45 and 32 nanometer semiconductor devices. Driven by the proliferation of new materials and chemicals in the manufacturing processes and increased needs for tighter process control for 300 mm wafers, investments were made for new contamination control products in the area of copper interconnects, deep ultra-violet (DUV) photolithography, and chemical and gas management technologies for advanced wafer cleans, deposition and etch equipment. Additional investments were made in the area of advanced process control, monitoring and diagnostics capabilities for future generations of semiconductor manufacturing processes. Our employees also work closely with our customers’ development personnel. These relationships help us identify and define future technical needs on which to focus our engineering, research and development efforts. In addition, we participate in Semiconductor Equipment and Materials International (SEMI), a consortium of semiconductor equipment suppliers. We also support research at academic and other institutions targeted at advances in materials science and semiconductor process development.
MANUFACTURING
Our customers rely on our products to assure the integrity of the critical materials used in their manufacturing processes by providing dimensional precision and stability, cleanliness and consistent performance. Our ability to meet our customers’ expectations, combined with our substantial investments in worldwide manufacturing capacity, position us to respond to the increasing materials integrity management demands of the microelectronics industry and other industries that require similar levels of materials integrity.
To meet our customer needs worldwide, we have established an extensive global manufacturing network with manufacturing facilities in the United States, Japan and Malaysia. Because we work in an industry where contamination control is paramount, we maintain Class 100 to Class 10,000 cleanrooms for manufacturing and assembly. We believe that our worldwide manufacturing operations and our advanced manufacturing capabilities are important competitive advantages. Our advanced manufacturing capabilities include:
• | Injection Molding. Our manufacturing expertise is based on our long experience with injection molding. Using molds produced from computer-aided processes, our manufacturing technicians utilize specialized injection molding equipment and operate within specific protocols and procedures established to consistently produce precision products. |
• | Extrusion. Extrusion is accomplished through the use of heat and force from a screw to melt solid polymer pellets in a cylinder and then forcing the resulting melt through a die to produce tubing and pipe. We have established contamination-free on-line laser marking and measurement techniques to properly identify products during the extrusion process and ensure consistency in overall dimension and wall thickness. In addition, we use extrusion technology to extrude a polymer mix into flat sheet and hollow fiber membranes. |
• | Blow Molding. Blow molding consists of the use of heat and force from a screw to melt solid polymer pellets in a cylinder and then forcing the resulting melt through a die to create a hollow tube. The molten |
12
tube is clamped in a mold and expanded with pressurized gas until it takes the shape of the mold. We utilize advanced three-layer processing to manufacture 55 gallon drums, leading to cost savings while simultaneously assuring durability, strength and purity. |
• | Rotational Molding. Rotational molding is accomplished by the placing of a solid polymer powder in a mold, placing the mold in an oven and rotating the mold on two axes so that the melting polymer coats the entire surface of the mold. This forms a part in the shape of the mold upon cooling. We use rotational molding in manufacturing containers up to 5,000 liters. Our rotational molding expertise has provided rapid market access for our current fluoropolymer sheet lining manufacturing business. |
• | Compression Molding. In compression molding, thermoset polymers are processed. Today, we use this manufacturing process primarily for manufacturing bipolar plates and end-plates for the fuel cell market. We use the same expertise as in injection molding to assure a consistently produced precision product. |
• | Membrane Casting. We cast membrane by extruding a polymer into flat sheet or hollow fiber format that is passed through a chamber with controlled atmospheric conditions to control the development of voids or pores in the membrane. Once cast, the membrane is subjected to solvent extraction and annealing steps. The various properties of the membranes that we offer are developed during subsequent process steps. |
• | Cartridge Manufacturing. We fabricate the membrane we manufacture as well as membranes manufactured by others into finished filtration cartridges in a variety of configurations. The fabrication process involves membrane processing into pleated and other configurations around a central core and enclosing it in a framework of end caps and protective screening for use in fabricated cartridge housings. We also manufacture filter cartridges that are integrated into their own housings and incorporate our patented Connectology™ quick connect technology. |
• | Graphite Synthesis. We have a differentiated proprietary graphite synthesis process that produces premium graphite with superior strength, uniformity and performance. This synthesis process consists of blending and forming petroleum cokes into “green” billets, baking over an extended period between 800 to 1,100°C, followed by a graphitization process at temperatures between 2,000 to 3,000°C. The graphite produced by this process is sold in bulk, machined into specific components or converted into silicon carbide through controlled exposure to silicon monoxide gas. |
• | Machining. Machining consists of the use of computer-controlled equipment to create shapes, such as valve bodies and other specific components, out of solid polymer blocks or rods, premium graphite and silicon carbide. Our computerized machining capabilities enable speed and repeatability in volume manufacturing of our machined products, particularly products utilized in chemical delivery applications. |
• | Assembly. We have established protocols, flow charts, work instructions and quality assurance procedures to assure proper assembly of component parts. The extensive use of robotics throughout our facilities reduces labor costs, diminishes the possibility of contamination and assures process consistency. |
• | Tool Making. We employ approximately 60 tool development and tool-making staff at locations in the United States and Malaysia. Our toolmakers produce the majority of the tools we use throughout the world. |
We have made significant investments in systems and equipment to create innovative products and tool designs. Our computer-aided design (CAD) equipment allows us to develop three-dimensional electronic models of desired customer products to guide design and tool-making activities. Our CAD equipment also aids in the rapid prototyping of products.
We also use computer-automated engineering in the context of mold flow analysis. Beginning with a three-dimensional CAD model, mold flow analysis is used to visualize and simulate how our molds will fill. The mold flow analysis techniques cut the time needed to bring a new product to market because of the reduced need for sampling and development. Also, our CAD equipment can create a virtual part with specific geometries, which drives subsequent tool design, tool manufacturing, mold flow analysis and performance simulation.
13
In conjunction with our three-dimensional product designs, we use finite element analysis software to simulate the application of a variety of forces or pressures to observe what will happen during product use. This analysis helps us anticipate forces that affect our products under various conditions. The program also assists our product designers by measuring anticipated stresses against known material strengths and establishing proper margins of safety.
PATENTSAND OTHER INTELLECTUAL PROPERTY RIGHTS
We rely on a combination of patent, copyright, trademark and trade secret laws and license agreements to establish and protect our proprietary rights. As of February 1, 2009 our patent portfolio included 280 current U.S. patents, 508 current foreign patents, including counterparts to U.S. filings, 75 pending U.S. patent applications, 19 pending filings under the Patent Cooperation Treaty not yet nationalized and 543 pending foreign patent applications. While we believe that patents may be important for aspects of our business, we believe that our success also depends more upon close customer contact, innovation, technological expertise, responsiveness and worldwide distribution. Additionally, while our patented technology may delay or deter a competitor in offering a competing product, we do not believe that our patent portfolio functions as a barrier to entry for any of our competitors. In addition, while we license and will continue to license technology used in the manufacture and distribution of products from third parties, except as described below, these licenses are not currently related to any of our core product technology. In connection with the separation of Mykrolis from Millipore Corporation, Mykrolis was granted licenses to certain Millipore technology. Our use of Millipore’s technology is governed by the agreements governing the separation of Mykrolis from Millipore, which prohibit our use of Millipore’s technology in fields of use outside the microelectronics industry. In general, where technology is used both by Millipore in the manufacture of its products and by us in the manufacture of our products, Millipore retained ownership of the technology and granted us a license to use the technology, limited to fields of use in the microelectronics industry. These restrictions could limit our ability to expand our business into markets outside the microelectronics industry, which could limit our growth.
We require each of our employees, including our executive officers, to enter into standard agreements pursuant to which the employee agrees to keep confidential all of our proprietary information and to assign to us all inventions made while employed by us.
The patent position of any manufacturer, including us, is subject to uncertainties and may involve complex legal and factual issues. Litigation is currently necessary and will likely be necessary in the future to enforce our patents and other intellectual property rights or to defend ourselves against claims of infringement or invalidity. The steps that we have taken in seeking patents and other intellectual property protections may prove inadequate to deter misappropriation of our technology and information. In addition, our competitors may independently develop technologies that are substantially equivalent or superior to our technology.
GOVERNMENTAL REGULATION
Our operations are subject to federal, state and local regulatory requirements relating to environmental, waste management and health and safety matters, including measures relating to the release, use, storage, treatment, transportation, discharge, disposal and remediation of contaminants, hazardous substances and wastes, as well as practices and procedures applicable to the construction and operation of our plants. There can be no assurance that we will not incur material costs and liabilities or that our past or future operations will not result in exposure to injury or claims of injury by employees or the public. Although some risk of costs and liabilities related to these matters is inherent in our business, as with many similar businesses, we believe that our business is operated in substantial compliance with applicable regulations. However, new, modified or more stringent requirements or enforcement policies could be adopted, which could adversely affect us. While we expect that capital expenditures will be necessary to assure that any new manufacturing facility is in compliance with environmental and health and safety laws, we do not expect these expenditures to be material. Otherwise, we are not presently aware of any facts or circumstances that would cause us to incur significant liabilities in the future related to environmental, health and safety law compliance.
14
EMPLOYEES
As of February 1, 2009, we had approximately 2,829 full-time employees, including approximately 247 in engineering, research and development and approximately 515 in sales and marketing, as well as approximately 396 temporary employees. Given the variability of business cycles in the semiconductor industry and the quick response time required by our customers, it is critical that we be able to quickly adjust the size of our production staff to maximize efficiency. Therefore, we use skilled temporary labor as required.
None of our employees are represented by a labor union or covered by a collective bargaining agreement other than statutorily mandated programs in European countries.
OTHER INFORMATION
On July 27, 2005, our Board of Directors adopted a shareholder rights plan (the “Rights Plan”) pursuant to which Entegris declared a dividend on August 8, 2005 to its shareholders of record on that date of one preferred share purchase right (a “Right”) for each share of Entegris common stock owned on August 8, 2005. Each Right entitles the holder to purchase one-hundredth of a share of a series of preferred stock at an exercise price of $50, subject to adjustment as provided in the Rights Plan. The Rights Plan is designed to protect Entegris’ shareholders from attempts by others to acquire Entegris on terms or by using tactics that could deny all shareholders the opportunity to realize the full value of their investment. The Rights are attached to the shares of our common stock until certain triggering events specified in the Rights Agreement occur, including, unless approved by our board of directors, an acquisition by a person or group of specified levels of beneficial ownership of our common stock or a tender offer for our common stock. Upon the occurrence of any of these triggering events, the Rights authorize the holders to purchase at the then-current exercise price for the Rights that number of shares of our common stock having a market value equal to twice the exercise price. The Rights are redeemable by us for $0.01 and will expire on August 8, 2015. One of the events that would trigger the Rights is the acquisition, or commencement of a tender offer, by a person (an Acquiring Person, as defined in the shareholder rights plan), other than Entegris or any of our subsidiaries or employee benefit plans, of 15% or more of the outstanding shares of our common stock. An Acquiring Person may not exercise a Right.
Entegris’ products are made from a wide variety of raw materials that are generally available in quantity from alternate sources of supply. However, certain materials included in the Company’s products, such as polymer resins, petroleum coke and certain filtration membranes, are obtained from a single source or a limited group of suppliers. Although the Company seeks to reduce dependence on these sole and limited source suppliers, the partial or complete loss of these sources could interrupt our manufacturing operations and result in an adverse effect on the Company’s results of operations. Furthermore, a significant increase in the price of one or more of these components could also adversely affect the Company’s results of operations.
OUR HISTORY
Effective August 6, 2005 Entegris, Inc., a Minnesota corporation, and Mykrolis Corporation, a Delaware corporation, completed a strategic merger of equals transaction, pursuant to which they were each merged into the Company to carry on the combined businesses. We were incorporated in Delaware in March 2005 under the name Eagle DE, Inc. as a wholly owned subsidiary of Entegris Minnesota. Effective August 6, 2005 Entegris Minnesota merged into us in a reincorporation merger of which we were the surviving corporation. Immediately following that merger, Mykrolis merged into us and our name was changed to Entegris, Inc. Our stock is traded on the NASDAQ National Market System under the symbol “ENTG”.
Entegris Minnesota was incorporated in June 1999 to effect the business combination of Fluoroware, Inc., which began operating in 1966, and EMPAK, Inc., which began operating in 1980. On July 10, 2000 Entegris Minnesota completed an initial public offering of approximately 19% of the total shares of the Company’s common stock outstanding.
Mykrolis was organized as a Delaware corporation on October 16, 2000 under the name Millipore MicroElectronics, Inc. in connection with the spin-off by Millipore Corporation of its microelectronics business unit. On March 31, 2001, Millipore effected the separation of the Mykrolis business from Millipore’s
15
business by transferring to Mykrolis substantially all of the assets and liabilities associated with its microelectronics business. On August 9, 2001 Mykrolis completed an initial public offering of approximately 18% of the total shares of the Company’s common stock outstanding. On February 27, 2002, Millipore completed the spin-off of Mykrolis by distributing to its stockholders the 82% of the Mykrolis common stock that it held following the Mykrolis initial public offering.
EXECUTIVE OFFICERS
The following is a list, as of December 31, 2008, of our Executive Officers. All of the Executive Officers listed below were elected to serve until the first Directors Meeting following the 2009 Annual Stockholders Meeting.
Name | Age | Office | First Elected To Office* | |||
CORPORATE OFFICERS | ||||||
Gideon Argov | 52 | President & Chief Executive Officer | 2004 | |||
Gregory B. Graves | 48 | Executive Vice President, Chief Financial Officer & Treasurer | 2002 | |||
Bertrand Loy | 43 | Executive Vice President & Chief Operating Officer | 2001 | |||
Peter W. Walcott | 62 | Senior Vice President, Secretary & General Counsel | 2001 | |||
John J. Murphy | 56 | Senior Vice President Human Resources | 2005 | |||
John Goodman | 48 | Senior Vice President Chief Technology & Innovation Officer | 2005 |
* | With either the Company or a predecessor company |
Gideon Argov has been our President and Chief Executive Officer and a director since the effectiveness of our merger with Mykrolis. He served as the Chief Executive Officer and a director of Mykrolis since November 2004. Prior to joining Mykrolis, Mr. Argov was a Special Limited Partner at Parthenon Capital, a Boston-based private equity partnership, since 2001. He served as Chairman, Chief Executive Officer and President of Kollmorgen Corporation from 1991 to 2000. From 1988 to 1991 he served as Chief Executive Officer of High Voltage Engineering Corporation. Prior to 1988, he led consulting engagement teams at Bain and Company. He is a director of Interline Brands, Inc., X-Rite Incorporated and Fundtech Corporation.
Gregory B. Graves has served as our Executive Vice President and Chief Financial Officer since July 2008. Prior to that he served as Senior Vice President and Chief Financial Officer since April 2007. Prior to April 2007, he served as Senior Vice President, Strategic Planning & Business Development since the effectiveness of the merger with Mykrolis. Mr. Graves served as the Chief Business Development Officer of Entegris Minnesota since September 2002 and from September 2003 until August 2004 he also served as Senior Vice President of Finance. Prior to joining Entegris Minnesota, Mr. Graves held positions in investment banking and corporate development, including at U.S. Bancorp Piper Jaffray from June 1998 to August 2002 and at Dain Rauscher from October 1996 to May 1998.
Bertrand Loyserved as our Executive Vice President and Chief Administrative Officer from the effectiveness of the merger with Mykrolis until July 2008, when he assumed his current position as Chief Operating Officer. He served as the Vice President and Chief Financial Officer of Mykrolis from January 2001 until the Merger. Prior to that, Mr. Loy served as the Chief Information Officer of Millipore from April 1999 until December 2000. From 1995 until 1999, he served as the Division Controller for Millipore’s Laboratory Water Division. From 1989 until 1995, Mr. Loy served Sandoz Pharmaceuticals (now Novartis) in a variety of financial, audit and controller positions located in Europe, Central America and Japan.
16
Peter W. Walcotthas been our Senior Vice President, Secretary and General Counsel since the effectiveness of the merger with Mykrolis. He served as the Vice President, Secretary and General Counsel of Mykrolis since October 2000. Mr. Walcott served as the Assistant General Counsel of Millipore from 1981 until March 2001.
John J. Murphyjoined us as our Senior Vice President, Human Resources in October of 2005. He served as the Senior Vice President Human Resources of HNTB, an engineering and architectural services firm from February 2004 until October 2005 and as Corporate Vice President, Human Resources of Cadence Design Systems, Inc. from May of 2000 through October 2003. Prior to that Mr. Murphy held senior human resources positions with L.M. Ericsson Telephone Company and with General Electric Company.
John Goodman has been our Senior Vice President, Chief Technology & Innovation Officer since the effectiveness of the merger with Mykrolis. He served as the Managing Director of the fuel cell market sector of Entegris Minnesota since January 2005 and prior to that as president of the fuel cell market sector since June 2002. Mr. Goodman served as Executive Vice President and Chief Technology Officer of Entegris Minnesota from 1999 to 2002. Prior to that time, Mr. Goodman held a variety of positions with Fluoroware (a predecessor to Entegris Minnesota) since 1982.
CORPORATE GOVERNANCE
At their first meeting following the Merger, on August 10, 2005, our Board of Directors adopted a code of business ethics, The Entegris Code of Business Ethics, applicable to all of our executives, directors and employees as well as a set of corporate governance guidelines. The Entegris Code of Business Ethics, the Governance Guidelines and the charters for our Audit & Finance Committee, Governance & Nominating Committee and our Management Development & Compensation Committee all appear on our website at http://www.Entegris.com under “Investor Relations – Governance”. The Governance Guidelines and committee charters are also available in print to any shareholder that requests a copy. Copies may be obtained by contacting Peter W. Walcott, our Senior Vice President, Secretary and General Counsel through our corporate headquarters.
17